搜索资源列表

  1. Adc_T1_start

    0下载:
  2. AD采样频率为10K,序列发生器SEQ1和SEQ2级联成一个16通道的序列发生器, 采样模式采用顺序采样。利用通用定时器T1的周期中断来触发AD转换。-AD sampling frequency of 10K, the sequence generator SEQ1 and SEQ2 cascaded into a 16-channel sequencer, sampling mode with sequential sampling.
  3. 所属分类:DSP编程

    • 发布日期:2024-10-08
    • 文件大小:297984
    • 提供者:yu hao
  1. Adc_T1_correct

    0下载:
  2. AD采样频率为10K,序列发生器SEQ1和SEQ2级联成一个16通道的序列发生器, * 采样模式采用顺序采样。利用通用定时器T1的周期中断来触发AD转换。 ADCINA0和ADCINB0为参考电平,对ADCINA0,ADCINA1,ADCINB0,ADCINB1连续10次采样,然后分别排序,滤波,取均值,用A0,B0的值计算增益和偏移量,用来校正A1,B1-AD sampling frequency of 10K, the
  3. 所属分类:DSP编程

    • 发布日期:2024-10-08
    • 文件大小:306176
    • 提供者:yu hao
  1. F2812_AD02

    0下载:
  2. F2812 AD02 (CCS3.3开发环境下)T1周期中断启动ADC,实现ADC模块16路通道的采样.AD采样频率为10K,序列发生器SEQ1和SEQ2级联成一个16通道的序列发生器,采样模式采用并发采样。利用通用定时器T1的周期中断来触发AD转换。-F2812 AD02 .T1 cycle interrupt start ADC, the ADC module 16-channel sampling. AD sampling rat
  3. 所属分类:DSP编程

    • 发布日期:2024-10-08
    • 文件大小:347136
    • 提供者:奋斗不止
  1. stsw-stm32014

    0下载:
  2. adc采样多种模式应用实例,包括多通道、单通道、独立、定时器触发模式等等。(The regular channel converted by ADC2 is channel14 (the potentimeter). The converted values of channel12 and channel14 are stored in ADC_DualConvertedValueTab[] table as 32bit forma
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-10-08
    • 文件大小:2335744
    • 提供者:ymzhong
  1. Template

    0下载:
  2. 通过定时器触发adc采样,并且在串口显示出来采样电压(The ADC sampling is triggered by the timer, and the sampling voltage is displayed in the serial port)
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-10-08
    • 文件大小:5410816
    • 提供者:顾焱
  1. 28377s_Project

    1下载:
  2. DSP28377s工程,包含定时器、外部触发中断、看门狗、AD采样、CMD文件配置等基本功能,已在CCS6.2下编译通过并测试OK。(DSP28377s Project.include watchdog,timer0,ADC)
  3. 所属分类:DSP编程

    • 发布日期:2024-10-08
    • 文件大小:873472
    • 提供者:单片我

源码中国 www.ymcn.org