搜索资源列表

  1. Sonar

    0下载:
  2. 基于fpga开发的超声波测距,程序完整,可以在quartus软件下运行。-Ultrasonic distance measurement based on the fpga development
  3. 所属分类:软件工程

    • 发布日期:2024-10-08
    • 文件大小:6117376
    • 提供者:胡中华
  1. sonic

    0下载:
  2. 基于FPGA的超声波测距,通过数码管显示距离-FPGA-based ultrasonic distance
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-08
    • 文件大小:583680
    • 提供者:张伟
  1. chaoshengbo

    0下载:
  2. 用verilog 写的基于fpga的超声波测距-Written in Verilog based on FPGA ultrasonic ranging
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-08
    • 文件大小:9216
    • 提供者:
  1. 源程序

    0下载:
  2. 基于FPGA的超声波测距,利用原理图绘制,可以转成veilog代码(Ultrasonic ranging based on FPGA, using schematic drawing, can be converted to veilog code)
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-10-08
    • 文件大小:11157504
    • 提供者:dongtaishan

源码中国 www.ymcn.org