搜索资源列表

  1. cnt60

    0下载:
  2. 同步计数器和异步计数器在设计时有哪些区别?试用 六进制计数器和一个十进制计数器构成一个六十进制同步计数器。-synchronous and asynchronous counter counter in the design these differences? 6 probation and 229 counters constitute a decimal counter a six decimal synchronous coun
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:848
    • 提供者:sunqionghui
  1. 有译zhup

    0下载:
  2. 交通灯控制电路 一、 设计任务与要求 1.设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替 运行,每次通行时间都设为25秒; 2.要求黄灯先亮5秒,才能变换运行车道; 3.黄灯亮时,要求每秒钟闪亮一次 。 二、实验预习要求 1.复习数字系统设计基础。 2.复习多路数据选择器、二进制同步计数器的工作原理。 3.根据交通灯控制系统框图,画出完整的电路图。-a control circuit design tas
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:969
    • 提供者:刘鹏
  1. 7位二进制计数器

    0下载:
  2. 应用VHDL语言编写设计一个带计数使能、异步复位、同步装载的可逆七位二进制计数器,计数结果由共阴极七段数码管显示
  3. 所属分类:VHDL编程

  1. 实验8 含异步清零和同步使能的计数器的设计

    0下载:
  2. 该压缩包内是一个含异步清零和同步清零的计数器,内还有源代码以及说明文档
  3. 所属分类:VHDL编程

    • 发布日期:2011-08-25
    • 文件大小:711989
    • 提供者:18azrael
  1. 有译zhup

    0下载:
  2. 交通灯控制电路 一、 设计任务与要求 1.设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替 运行,每次通行时间都设为25秒; 2.要求黄灯先亮5秒,才能变换运行车道; 3.黄灯亮时,要求每秒钟闪亮一次 。 二、实验预习要求 1.复习数字系统设计基础。 2.复习多路数据选择器、二进制同步计数器的工作原理。 3.根据交通灯控制系统框图,画出完整的电路图。-a control circuit design tas
  3. 所属分类:Windows编程

    • 发布日期:2024-10-21
    • 文件大小:1024
    • 提供者:刘鹏
  1. anjian

    0下载:
  2. 按键输入模块(key): --可编程延时发生器(数字同步机)的前端输入模块:0-9十个数字键按键输入模块原型 --前端模块:消抖 --对i0-i9十个输入端的两点要求: --(1)输入端要保证一段时间的稳定高电平 --(2)不能同时按下两个或多于两个的键 --后级模块:1、编码;2、可变模计数器 --编码模块:8线-4线(0-8 BCD码) --可变模计数器模块:以编码模块输出的32位BCD码为模值-bu
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-21
    • 文件大小:2048
    • 提供者:汪汪
  1. countqi

    0下载:
  2. 计数器 同步异步预置数清零 verilog hdl 编写-Asynchrony preset counter reset the Verilog HDL few prepared
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-10-21
    • 文件大小:271360
    • 提供者:周颖
  1. cnt60

    0下载:
  2. 同步计数器和异步计数器在设计时有哪些区别?试用 六进制计数器和一个十进制计数器构成一个六十进制同步计数器。-synchronous and asynchronous counter counter in the design these differences? 6 probation and 229 counters constitute a decimal counter a six decimal synchronous coun
  3. 所属分类:其他小程序

    • 发布日期:2024-10-21
    • 文件大小:1024
    • 提供者:sunqionghui
  1. dpll

    0下载:
  2. DPLL由 鉴相器 模K加减计数器 脉冲加减电路 同步建立侦察电路 模N分频器 构成. 整个系统的中心频率(即signal_in和signal_out的码速率的2倍) 为clk/8/N. 模K加减计数器的K值决定DPLL的精度和同步建立时间,K越大,则同步建立时间长,同步精度高.反之则短,低.-DPLL phase detector by the addition and subtraction counter modu
  3. 所属分类:RFID编程

    • 发布日期:2024-10-21
    • 文件大小:1024
    • 提供者:
  1. digitalPLL

    0下载:
  2. 数字锁相环实现源码,有很大的参考价值。 由 鉴相器 模K加减计数器 脉冲加减电路 同步建立侦察电路 模N分频器 构成.-DPLL realize source, has a great reference value. By the phase detector counter modulus K addition and subtraction circuit synchronous pulse addition and sub
  3. 所属分类:RFID编程

    • 发布日期:2024-10-21
    • 文件大小:2048
    • 提供者:sharny
  1. verilog_shili

    0下载:
  2. 计数器 锁存器 12位寄存器 带load,clr等功能的寄存器 双向脚(clocked bidirectional pin) 一个简单的状态机 一个同步状态机 用状态机设计的交通灯控制器 数据接口 一个简单的UART 测试向量(Test Bench)举例: 加法器源程序 相应加法器的测试向量test bench)-Counter latch 12 registers with load, cl
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-21
    • 文件大小:11264
    • 提供者:
  1. binarycount

    0下载:
  2. 异步复位、同步置数的四位二进制计数器的VHDL源文件-Asynchronous reset, synchronous purchase the number of binary counter 4 of the VHDL source files
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-21
    • 文件大小:1024
    • 提供者:chenwen
  1. cnt

    0下载:
  2. 带有异步复位和同步时钟的十进制加法计数器-With asynchronous reset and synchronous clock counter decimal adder
  3. 所属分类:其他小程序

    • 发布日期:2024-10-21
    • 文件大小:1024
    • 提供者:何霞霞
  1. ttvvfg

    0下载:
  2. 带有异步复位和同步时钟使能的十进制加法计数器
  3. 所属分类:Windows编程

    • 发布日期:2024-10-21
    • 文件大小:1024
    • 提供者:shinan
  1. work2CNT10

    0下载:
  2. 设计含异步清零和同步时钟使能的加法计数器-Clear design with asynchronous and synchronous clock so that the adder counter
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-21
    • 文件大小:28672
    • 提供者:lkiwood
  1. SingleclocksynchronousdesignmetricCNTR

    0下载:
  2. 用VHDL 设计的单时钟同步十进制可逆计数器的设计-VHDL design using a single clock synchronization decimal CNTR Design
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-21
    • 文件大小:1024
    • 提供者:pengy
  1. cnt6

    0下载:
  2. vhdl,无进位同步计数器,完成6进制加,输出6进制序列数-vhdl, non-binary synchronous counter to complete the six binary Canada, output 6, the number of binary sequences
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-21
    • 文件大小:37888
    • 提供者:王晓虎
  1. 3

    0下载:
  2. 设计一个10进制同步计数器,带一个清零端,一个进位输出端。-Design a synchronous counter 10, with a clear end, a carry output.
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-21
    • 文件大小:6144
    • 提供者:李小勇
  1. async_counter_verilog

    0下载:
  2. 这是用verilog 实现的同步计数器。(this is a code for synchronous counter written in verilog.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-21
    • 文件大小:6144
    • 提供者:adonis85101
  1. 4位二进制同步计数器

    0下载:
  2. 用Verilog语言实现4位二进制同步计数器的功能(Write a program in Verilog language to implement the fouction of Four binary synchronous counters.)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-10-21
    • 文件大小:31744
    • 提供者:limaozi
« 12 3 4 5 6 7 »

源码中国 www.ymcn.org