搜索资源列表

  1. bahe

    0下载:
  2. 设计四 拔河游戏机 1、 设计一个能进行拔河游戏的电路。 2、 电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。 3、 游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。 4、 亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。 5、 用数码管显示获胜者的盘数。 教学提示:
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:292697
    • 提供者:万金油
  1. 100_jishuqi

    0下载:
  2. 该代码是100进制可逆计数器的源代码,已经在软件上调试过了,比较有用的-100 of the code is 229 CNTR the source code, the software has increased tried, the more useful
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:17612
    • 提供者:yuxyoo
  1. Module=99ReversibilityCounter

    1下载:
  2. 设计功能及要求 设计M=99的十进制加/减可逆计数器 (1)接通电源时电路能自启动; (2)手动分别实现加、减计数和自动实现加减可逆计数; (3)用数码管显示计数数值。 (4)给定元件:74LS192、74LS00、74LS76、74LS48及LED。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:320758
    • 提供者:梁志明
  1. 2051

    1下载:
  2. 用2051制的绕线机[5位数+可预置数+减速+可逆计数器
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:174780
    • 提供者:xmhj
  1. 可预置可逆4位计数器

    0下载:
  2. 可预置可逆4位计数器
  3. 所属分类:单片机(51,AVR,MSP430等)

  1. 7位二进制计数器

    0下载:
  2. 应用VHDL语言编写设计一个带计数使能、异步复位、同步装载的可逆七位二进制计数器,计数结果由共阴极七段数码管显示
  3. 所属分类:VHDL编程

  1. 用可逆计数器生成三角波

    0下载:
  2. 直接利用可逆计数器生成三角波,节约内存资源!
  3. 所属分类:源码下载

    • 发布日期:2011-11-27
    • 文件大小:409
    • 提供者:460405701
  1. bahe

    0下载:
  2. 设计四 拔河游戏机 1、 设计一个能进行拔河游戏的电路。 2、 电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。 3、 游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。 4、 亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。 5、 用数码管显示获胜者的盘数。 教学提示:
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-03
    • 文件大小:292864
    • 提供者:万金油
  1. 100_jishuqi

    0下载:
  2. 该代码是100进制可逆计数器的源代码,已经在软件上调试过了,比较有用的-100 of the code is 229 CNTR the source code, the software has increased tried, the more useful
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-03
    • 文件大小:17408
    • 提供者:yuxyoo
  1. Module=99ReversibilityCounter

    0下载:
  2. 设计功能及要求 设计M=99的十进制加/减可逆计数器 (1)接通电源时电路能自启动; (2)手动分别实现加、减计数和自动实现加减可逆计数; (3)用数码管显示计数数值。 (4)给定元件:74LS192、74LS00、74LS76、74LS48及LED。-Design features and requirements of the design of the metric M = 99 plus/minus
  3. 所属分类:其他小程序

    • 发布日期:2024-07-03
    • 文件大小:320512
    • 提供者:
  1. 2051

    0下载:
  2. 用2051制的绕线机[5位数+可预置数+减速+可逆计数器-By 2051 the system of winding machine [5 median+ Can preset number+ Deceleration+ CNTR
  3. 所属分类:汇编语言

    • 发布日期:2024-07-03
    • 文件大小:174080
    • 提供者:xmhj
  1. kn_cnt256

    0下载:
  2. 此程序实现的是可逆计数器,通过对外部引脚的设置,何种进制。 -Realization of this process is reversible counter, through the external pin settings, what kind of band.
  3. 所属分类:Windows编程

    • 发布日期:2024-07-03
    • 文件大小:174080
    • 提供者:daigunagzhi
  1. SingleclocksynchronousdesignmetricCNTR

    0下载:
  2. 用VHDL 设计的单时钟同步十进制可逆计数器的设计-VHDL design using a single clock synchronization decimal CNTR Design
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-03
    • 文件大小:1024
    • 提供者:pengy
  1. e3

    0下载:
  2. 4位可逆计数器:将50MHz的时钟进行 分频后的结果作为时钟控制,根据输入进行条件判断,再通过设置一个四位的向量将结果输出,利用数码管显示在实验板上-CNTR 4: will be conducted at 50MHz clock frequency as the clock after the control conditions to determine the basis of inputs, and then set up a
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-07-03
    • 文件大小:151552
    • 提供者:evelyn
  1. keni.c

    0下载:
  2. 描述了可逆计数器的编程方法原理及应用表述详细-kenijishuqi
  3. 所属分类:Windows编程

    • 发布日期:2024-07-03
    • 文件大小:53248
    • 提供者:long
  1. ASM

    0下载:
  2. 单片机程序\可预置4位可逆计数器\ASM.rar-SCM Program Files \ 4-bit reversible counter can be preset \ ASM.rar
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-07-03
    • 文件大小:5120
    • 提供者:hudongping
  1. ModifyInstruction

    0下载:
  2. 数字环路滤波器是由变模可逆计数器构成的。 该计数器设计为一个17 位可编程(可变模数) 可逆 计数器,计数范围是,由外部置数DCBA 控制-Digital loop filter is composed of variable-mode reversible counter. The counter is designed to a 17-bit programmable (variable modulus) reversibl
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-03
    • 文件大小:1024
    • 提供者:xxx
  1. 8sfdsd

    0下载:
  2. 用VHDL实现的八位可逆计数器,可作为交流学习使用。-VHDL implementation with eight reversible counter can be used as the exchange of learning to use.
  3. 所属分类:其他小程序

    • 发布日期:2024-07-03
    • 文件大小:1024
    • 提供者:陆舰宇
  1. Reversible reversible 4 bit counter

    0下载:
  2. 可预制数的4位可逆计数器设计,protues平台 51单片机 全套工程文件:C源码、电路图及文明文档等(4 digit reversible counter design can be prefabricated, Protues platform, 51 single-chip full set of engineering documents: C source code, circuit diagrams and civilize
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-07-03
    • 文件大小:78848
    • 提供者:zhaoren
  1. 可逆计数器VHDL描述

    0下载:
  2. 在开发板FPGA:Spartan-3E 系列,型号:XC3S500E,封装:FGT320,速度-4,利用Xilinx ISE软件,利用VHDL软件编写可逆计数器,包含实验说明以及代码实现VHDL.doc文件,UCF管脚绑定文件(In the FPGA:Spartan-3E development board series, XC3S500E, package: FGT320, speed -4, using Xilinx ISE sof
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-03
    • 文件大小:12288
    • 提供者:lixilin
« 12 3 4 »

源码中国 www.ymcn.org