搜索资源列表

  1. shifter

    0下载:
  2. 用vhdl实现双向移位寄存器 仿真环境MAXPLUS-II,QUARTUS--bidirectional use VHDL simulation environment shift register Segments-II, QUARTUS-
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:150033
    • 提供者:dm
  1. spi_execution_plib_examples

    0下载:
  2. SPI是一个环形总线结构,由ss(cs)、sck、sdi、sdo构成,其时序其实很简单,主要是在sck的控制下,两个双向移位寄存器进行数据交换。 假设下面的8位寄存器装的是待发送的数据10101010,上升沿发送、下降沿接收、高位先发送。 那么第一个上升沿来的时候 数据将会是sdo=1;寄存器=0101010x。下降沿到来的时候,sdi上的电平将所存到寄存器中去,那么这时寄存器=0101010sdi,这样在8个时钟脉冲以后,
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:29267
    • 提供者:王朝
  1. shifter

    0下载:
  2. 用vhdl实现双向移位寄存器 仿真环境MAXPLUS-II,QUARTUS--bidirectional use VHDL simulation environment shift register Segments-II, QUARTUS-
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-05
    • 文件大小:149504
    • 提供者:dm
  1. shifter

    0下载:
  2. 双向可控移位寄存器及存储器设计实验 双向可控移位寄存器及存储器设计实验
  3. 所属分类:文档资料

    • 发布日期:2024-10-05
    • 文件大小:29696
    • 提供者:发啊饿
  1. spi_execution_plib_examples

    0下载:
  2. SPI是一个环形总线结构,由ss(cs)、sck、sdi、sdo构成,其时序其实很简单,主要是在sck的控制下,两个双向移位寄存器进行数据交换。 假设下面的8位寄存器装的是待发送的数据10101010,上升沿发送、下降沿接收、高位先发送。 那么第一个上升沿来的时候 数据将会是sdo=1;寄存器=0101010x。下降沿到来的时候,sdi上的电平将所存到寄存器中去,那么这时寄存器=0101010sdi,这样在8个时钟脉冲以后,
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-10-05
    • 文件大小:28672
    • 提供者:王朝
  1. shiftdata

    0下载:
  2. 双向移位寄存器的VHDL源程序,自己做实验编写的可以用 谢谢大家-Bi-directional shift register of the VHDL source code, prepared by their own experiments can be used Thank you
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-05
    • 文件大小:1024
    • 提供者:朱武增
  1. shifter

    0下载:
  2. 8位双向移位寄存器: 实现串行数据与并行数据的转换,移位寄存数据功能的-8-bit bi-directional shift register: the realization of serial data and parallel data conversion, data storage function of displacement
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-05
    • 文件大小:45056
    • 提供者:罗子
  1. R

    0下载:
  2. 双向移位寄存器的原理设计程序,对于初学者将会有很大帮助,尤其在设计功能比较复杂的FPGA时,有些问题其实用这个就很简单-The principle of bi-directional shift register the design process, for beginners there will be a great help, especially in the design features of the FPGA more
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-05
    • 文件大小:2048
    • 提供者:lijq
  1. bid_shift_reg

    0下载:
  2. VHDL语言编写,实现双向移位寄存器功能,在MAX+plus软件下实现-VHDL language to achieve bi-directional shift register function MAX+ Plus software to achieve
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-05
    • 文件大小:2079744
    • 提供者:画眉
  1. UniversalRegister

    0下载:
  2. 这种设计是一个普遍的登记册可作为一个简单的存储登记,双向移位寄存器,计数器的行动和反跌。登记册可以载入了一套并行数据输入和模式是由3位输入。-This design is a universal register which can be used as a straightforward storage register, a bi-directional shift register, an up counter and a dow
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-05
    • 文件大小:1024
    • 提供者:徐礼静
  1. double_shifter6

    0下载:
  2. 带置位的双向移位串入/并出6位移位寄存器。-With a string of set-bit bi-directional shift into/and a 6-bit shift register.
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-05
    • 文件大小:301056
    • 提供者:lzj
  1. shifter

    0下载:
  2. vhdl,双向移位寄存器,实现置数,左移及右移操作-vhdl, bi-directional shift register to achieve set the number of left and right shift operation
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-05
    • 文件大小:32768
    • 提供者:王晓虎
  1. arithmeticunit2

    0下载:
  2. 实验二 移位运算实验 一、实验目的: 掌握移位控制的功能及工作原理 二、预习要求: 1.了解8位双向移位寄存器74299的功能、引出端功能符号和管脚分配 2.预习移位运算电路的工作原理。 -Experiment 1 Experiment 2 shift operation, experimental purposes: to master shift control function and working
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-10-05
    • 文件大小:34816
    • 提供者:董俐
  1. sy3

    0下载:
  2. 用两片74194扩展成8位双向移位寄存器-Extended to 8-bit bi-directional shift register with two 74194
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-05
    • 文件大小:49152
    • 提供者:杨帆
  1. par_in_par_out

    0下载:
  2. 并入并出双向移位寄存器,很好很强大。使用Verilog进行设计并用Modelsim成功仿真。-Into the shift register and a two-way, very very strong. With Verilog for design and simulation using Modelsim successfully.
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-05
    • 文件大小:1269760
    • 提供者:iswl2009
  1. ser_in_ser_out

    0下载:
  2. 串入串出双向移位寄存器,很好很强大。使用Verilog进行设计并用Modelsim成功仿真。-String into the string of bi-directional shift register, very very strong. With Verilog for design and simulation using Modelsim successfully.
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-05
    • 文件大小:1396736
    • 提供者:iswl2009
  1. shuangxiang

    0下载:
  2. 双向移位计数器,可通过控制开关实现加法、减法的计数器-Bi-directional shift counter, can be achieved by controlling the switch addition, subtraction counter
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-05
    • 文件大小:184320
    • 提供者:陈瑞
  1. stack

    0下载:
  2. 设计了一个深度为64,字长为16_bit堆栈,要求有栈空、栈满和栈溢出信号。试以双向移位寄存器结构或存储器结构的电路结构方式设计完成电路,并说明它的特点。-Designed with a depth of 64, the word length is 16_bit stack, stack empty, stack full and stack overflow signal. Trial to the way of bi-direct
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-05
    • 文件大小:379904
    • 提供者:张明
  1. lqz4

    0下载:
  2. 这个程序是带置位的双向移位串入/并出6位移位寄存器-This program is a bidirectional shift with a set string into/out of 6-bit shift register and
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-05
    • 文件大小:529408
    • 提供者:李求知
  1. shift4

    0下载:
  2. 4位双向移位寄存器,用dir控制移位方向,程序精简可行,用到的空间也小-4 bidirectional shift register, shift direction control with dir, procedures streamlined feasible, use of small space
  3. 所属分类:汇编语言

    • 发布日期:2024-10-05
    • 文件大小:310272
    • 提供者:杨会
« 12 »

源码中国 www.ymcn.org