搜索资源列表

  1. 分频器VHDL描述

    0下载:
  2. 在数字电路中,常需要对较高频率的时钟进行分频操作,得到较低频率的时钟信号。我们知道,在硬件电路设计中时钟信号时非常重要的。-in digital circuits, the need for regular high frequency clock operating frequency for hours, a lower frequency of the clock signal. We know that the hardware
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:5460
    • 提供者:王力
  1. verilog 七分频

    0下载:
  2. 用Verilog巧妙实现奇数分频电路的硬件描述
  3. 所属分类:源码下载

    • 发布日期:2010-11-06
    • 文件大小:116607
    • 提供者:stone_90
  1. 数字钟的设计

    0下载:
  2. 数字式计时器一般都由震荡器,分频器,译码器及显示几部分组成。其中震荡器和分频器组成标准秒信号发生器,接成各种不同进制的计数器组成计时系统,译码器,显示器组成显示系统,另外一些组合电路组成校时调节系统。-digital timer usually are oscillator, dividers, decoder and display several parts. Which oscillator and divider standar
  3. 所属分类:文档资料

    • 发布日期:2024-06-27
    • 文件大小:118784
    • 提供者:lee
  1. 分频器VHDL描述

    0下载:
  2. 在数字电路中,常需要对较高频率的时钟进行分频操作,得到较低频率的时钟信号。我们知道,在硬件电路设计中时钟信号时非常重要的。-in digital circuits, the need for regular high frequency clock operating frequency for hours, a lower frequency of the clock signal. We know that the hardware
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-27
    • 文件大小:5120
    • 提供者:王力
  1. counter_7seg

    0下载:
  2. 带分频器的bcd计数电路设计,verilog源码-dividers with the bcd count circuit design, Verilog source
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-06-27
    • 文件大小:292864
    • 提供者:倪璠
  1. FPGAprogram2

    0下载:
  2. 半整数分频器电路的VHDL源程序,供大家学习和讨论。 -half-integer frequency divider circuit VHDL source code for all learning and discussion.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-27
    • 文件大小:3072
    • 提供者:许嘉
  1. news5f

    0下载:
  2. Verilog HDL语言编写的5分频电路。采用两路时钟相逻辑作用产生。-Verilog HDL prepared by the five-frequency circuits. Clock using two phase logic role.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-27
    • 文件大小:44032
    • 提供者:曹光明
  1. div5

    0下载:
  2. 简单的VERILOG五分频电路描述,可综合。已经过检验-simple verilog 0.2-frequency circuit descr iption can be integrated. Have been tested
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-06-27
    • 文件大小:1024
    • 提供者:李四
  1. byvhdstopwatchl

    1下载:
  2. 1.高精度数字秒表(0.01秒的vhdl语言实现) 2.具有定时,暂停,按键随机存储,翻页回放功能; 3.对30M时钟分频产生显示扫描时钟 4.精度高达0.01s,并且可以通过改变主频来更改分频比和记数间隔,可控性高。 5.模块化设计,其中的许多函数可以成为vhdl语言的通用经典例子(包含分频电路设计,动态扫描时钟设计,译码电路设计,存储器设计,存储回放显示设计)-1. High-precision digital s
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-27
    • 文件大小:2048
    • 提供者:方周
  1. VHDLEXAMPLEppt

    0下载:
  2. 介绍8位加法器、分频电路、数字秒表的PPT,带源码,解释详细,一步一步学习,是学习VHDL的好-introduced eight Adder, the frequency divider circuit, digital stopwatch, the PPT, with the source code, explained in detail, step by step, learning, VHDL is a good learnin
  3. 所属分类:文件格式

    • 发布日期:2024-06-27
    • 文件大小:527360
    • 提供者:刘一
  1. 11-1divide5_new_method

    0下载:
  2. 这是一个五分频电路设计,而且占空比为50%,设计有一定巧妙-This is a five-frequency circuit design, but for the 50% duty cycle, a certain ingenious design
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-27
    • 文件大小:1024
    • 提供者:孙海定
  1. cpld

    0下载:
  2. 一个好用的整数分频电路 保证你喜欢 能够实现对任意整数的分频电路设计-a handy integer frequency divider circuit assures you like to be able to achieve arbitrary integer frequency circuit design
  3. 所属分类:汇编语言

    • 发布日期:2024-06-27
    • 文件大小:1024
    • 提供者:王多奎
  1. VHDL

    0下载:
  2. VHD设计实例8位加法器的设计分频电路数字秒表的设计-VHD Design 8 adder design of sub-frequency circuit design of digital stopwatch
  3. 所属分类:文档资料

    • 发布日期:2024-06-27
    • 文件大小:569344
    • 提供者:yyy
  1. dpll

    0下载:
  2. DPLL由 鉴相器 模K加减计数器 脉冲加减电路 同步建立侦察电路 模N分频器 构成. 整个系统的中心频率(即signal_in和signal_out的码速率的2倍) 为clk/8/N. 模K加减计数器的K值决定DPLL的精度和同步建立时间,K越大,则同步建立时间长,同步精度高.反之则短,低.-DPLL phase detector by the addition and subtraction counter modu
  3. 所属分类:RFID编程

    • 发布日期:2024-06-27
    • 文件大小:1024
    • 提供者:
  1. digitalPLL

    0下载:
  2. 数字锁相环实现源码,有很大的参考价值。 由 鉴相器 模K加减计数器 脉冲加减电路 同步建立侦察电路 模N分频器 构成.-DPLL realize source, has a great reference value. By the phase detector counter modulus K addition and subtraction circuit synchronous pulse addition and sub
  3. 所属分类:RFID编程

    • 发布日期:2024-06-27
    • 文件大小:2048
    • 提供者:sharny
  1. div3

    0下载:
  2. 用VHDL硬件描述语言实现的良好运行的三分频电路-Using VHDL hardware descr iption language to achieve a good run of one-third frequency circuit
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-27
    • 文件大小:1024
    • 提供者:赵杰
  1. test

    0下载:
  2. VHDL实现倍频--偶数倍 分频电路 --分频倍数=2(n+1)-VHDL realize many times frequency multiplier circuit dual frequency multiplier = 2 (n+ 1)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-27
    • 文件大小:145408
    • 提供者:杨守望
  1. div

    0下载:
  2. verilog任意分频电路实现,仿真效果非常好-div dclk
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-27
    • 文件大小:413696
    • 提供者:刘东鑫
  1. DIV

    0下载:
  2. 占空比为50%的七分频电路,实用基于VHDL语言,仿真工具是ISE(Duty cycle of 50% of the seven frequency circuit)
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-06-27
    • 文件大小:401408
    • 提供者:嗯嗯~
  1. 分频显示

    0下载:
  2. VHDL实验中,实现分频与数码管显示。掌握BCD-七段显示译码器的功能和设计方法; 掌握用硬件描述语言的方法设计组合逻辑电路——BCD-七段显示译码器。(In the VHDL experiment, frequency division and digital tube display are realized.)
  3. 所属分类:其他小程序

    • 发布日期:2024-06-27
    • 文件大小:21229568
    • 提供者:Maggie0104
« 12 3 4 5 6 7 8 9 10 »

源码中国 www.ymcn.org