搜索资源列表

  1. bianmadeaomi

    0下载:
  2. 《编码的奥秘》作者 Charles Petzolel 译者 伍卫国 王室政 等译 本书用大量的篇幅讲述了与计算机原理相关的条种编码方法,并通过数字逻辑电路(包括逻辑与开关,逻辑门电路与触发器,二进制加法器等)以及存储器、微处理器的形式、组织及发展阐述了编码的实现。此外,本书还涉及到计算机系统、操作系统、编程语言等的产生及发展,甚至对计算机图形化的相关技术也给了一个全面的描述。
  3. 所属分类:操作系统开发

    • 发布日期:2008-10-13
    • 文件大小:6608362
    • 提供者:xf
  1. add_16_bcd

    0下载:
  2. 此程序采用VHDL语言,完成在16位十六进制加法器的基础上将输出进行BCD码转换,实现输出是BCD码的16位二进制加法器
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1073
    • 提供者:韩善华
  1. add_32_bcd

    0下载:
  2. 此程序采用VHDL语言,完成在32位十六进制加法器的基础上将输出进行BCD码转换,实现输出是BCD码的32位二进制加法器
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1231
    • 提供者:韩善华
  1. 编码的奥秘

    0下载:
  2. 本书用大量的篇幅讲述了与计算机原理相关的条种编码方法,并通过数字逻辑电路(包括逻辑与开关,逻辑门电路与触发器,二进制加法器等)以及存储器、微处理器的形式、组织及发展阐述了编码的实现。此外,本书还涉及到计算机系统、操作系统、编程语言等的产生及发展,甚至对计算机图形化的相关技术也给了一个全面的描述。阅读本书,相信您会从它图文并茂的编排组织,通俗风趣的语言文字、简练丰富的背景知识中体会到作者超凡的智慧和深邃的学问。本书定会带你去畅游计算机内部
  3. 所属分类:其他书籍

    • 发布日期:2010-12-23
    • 文件大小:5958818
    • 提供者:helen_wyh
  1. booth_mul

    0下载:
  2. 一种可以完成16位有符号/无符号二进制数乘法的乘法器。该乘法器采用了改进的Booth算法,简化了部分积的符号扩展,采用Wallace树和超前进位加法器来进一步提高电路的运算速度。本乘法器可以作为嵌入式CPU内核的乘法单元,整个设计用VHDL语言实现。-a 16 to be completed with symbols/unsigned multiplication of the number of binary multipliers.
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-21
    • 文件大小:19456
    • 提供者:*
  1. Verilog_Development_Board_Sources

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Develo
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-21
    • 文件大小:3151872
    • 提供者:Jawen
  1. verlog_basic

    0下载:
  2. 用verlog语言编的一些基础实验,适合于FPGA/CPLD的初学者。内容包括8位优先编码器,乘法器,除法器,多路选择器,二进制转BCD码,加法器,减法器等等。-verlog used some language addendum to the basic experiment, which is suitable for FPGA/CPLD beginners. Including eight priority encoder, mu
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-21
    • 文件大小:1004544
    • 提供者:leolili
  1. bianmadeaomi

    0下载:
  2. 《编码的奥秘》作者 Charles Petzolel 译者 伍卫国 王室政 等译 本书用大量的篇幅讲述了与计算机原理相关的条种编码方法,并通过数字逻辑电路(包括逻辑与开关,逻辑门电路与触发器,二进制加法器等)以及存储器、微处理器的形式、组织及发展阐述了编码的实现。此外,本书还涉及到计算机系统、操作系统、编程语言等的产生及发展,甚至对计算机图形化的相关技术也给了一个全面的描述。
  3. 所属分类:操作系统开发

    • 发布日期:2024-09-21
    • 文件大小:6607872
    • 提供者:xf
  1. adder8b

    0下载:
  2. 本程序是利用两个4位二进制并行加法器通过级联方式构成一个8位加法器。-This procedure is to use two four parallel binary adder cascade manner through an 8-bit adder.
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-21
    • 文件大小:1024
    • 提供者:liushenshen
  1. VHDL_add_4

    0下载:
  2. 本程序完成带进位输入输出的四位二进制加法运算,编程思想采用真值表转换成布尔方程式,利用循环语句将一位全加器编为四位加法器。-This procedure is completed into the four-bit input and output binary adder computing, programming thinking of using truth table into a Boolean equation using
  3. 所属分类:并行运算

    • 发布日期:2024-09-21
    • 文件大小:94208
    • 提供者:韩善华
  1. add_16_bcd

    0下载:
  2. 此程序采用VHDL语言,完成在16位十六进制加法器的基础上将输出进行BCD码转换,实现输出是BCD码的16位二进制加法器-This procedure using VHDL language, completed in 16-bit hexadecimal adder based on output BCD code conversion, the realization of output is BCD code of 16 bina
  3. 所属分类:并行运算

    • 发布日期:2024-09-21
    • 文件大小:1024
    • 提供者:韩善华
  1. add_32_bcd

    0下载:
  2. 此程序采用VHDL语言,完成在32位十六进制加法器的基础上将输出进行BCD码转换,实现输出是BCD码的32位二进制加法器-This procedure using VHDL language, completed in 32-bit hexadecimal adder based on output BCD code conversion, the realization of output is BCD code of 32 bina
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-21
    • 文件大小:1024
    • 提供者:韩善华
  1. jfq

    0下载:
  2. 加法器是实现两个二进制数相加运算的 基本单元电路。8 位加法器就是实现两个8 位 二进制相加,同时加上低位进位的运算电路。-Adder is to achieve the sum of two binary computing the basic unit of the circuit. 8-bit adder is to realize the sum of two 8-bit binary, at the same time
  3. 所属分类:软件工程

    • 发布日期:2024-09-21
    • 文件大小:71680
    • 提供者:asd
  1. lab2-2

    0下载:
  2. 4位二进制加法器,vhdl实现,外带译码器部分,清晰简洁,可读性好-4-bit binary adder, vhdl achieved decoder part of the bargain, clear and concise, readable good
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-21
    • 文件大小:416768
    • 提供者:zart
  1. component32adder

    0下载:
  2. 首先设计简单的4位二进制加法器,然后利用例化语句级联成为32位二进制加法器-First of all, the design of a simple binary adder 4, and then the use of statements were to become 32-bit binary cascade adder
  3. 所属分类:ActiveX/DCOM

    • 发布日期:2024-09-21
    • 文件大小:514048
    • 提供者:zhang
  1. daima

    0下载:
  2. 用VHDL语言设计一个8位加法器: 在八位加法器代码一中:加法器是由两个4位二进制加法器U1和U2组成的8位加法器逻辑电路,其中U1用来装载8位加法器中两个加数的低4位,而U2则用来装载高4位。在设计4位加法器时,定义输入信号量CIN、A、B以及输出信号量S、Cout。定义信号量SINT/AA/BB,将加数A和0并置后赋给AA,加数B和0并置后赋给BB,形成5位二进制数,这是为在做加法时发生溢出所做的处理,然后将加数AA与BB以及进
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-21
    • 文件大小:9216
    • 提供者:SAM
  1. jiafaqi

    0下载:
  2. 用Veriloge编的四位二进制加法器。用一个显示屏进行显示。-Veriloge series with four binary adder. With a display to display.
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-21
    • 文件大小:206848
    • 提供者:she
  1. adder3

    0下载:
  2. 此源代码是基于Verilog语言的七人投票表决器 、2 个 8 位数相乘 、8 位二进制数的乘法 、同一循环的不同实现方式、使用了`include 语句的 16 位加法器 、条件编译、加法计数器中的进程、任务、测试、函数、用函数和 case语句描述的编码器、阶乘运算函数、测试程序 、顺序执行、并行执行,特别是七人投票表决器,这是我目前发现的最优的用硬件描述的源代码。-The Verilog language source code is
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-21
    • 文件大小:2048
    • 提供者:王柔毅
  1. quanjiaqiheDchufaqi

    0下载:
  2. 设计一个全加器元件,再用该元件连成4位二进制加法器 设计一个D触发器元件,再用该元件连成4位寄存器 -Design a full adder component, then the component with a 4-bit binary adder design a D flip-flop element, then the components together into four registers
  3. 所属分类:DSP编程

    • 发布日期:2024-09-21
    • 文件大小:65536
    • 提供者:potoyb2
  1. BINadd

    0下载:
  2. 二进制加法原理 学习, proteus模拟原理图。(Binary addition principle learning)
  3. 所属分类:其他小程序

    • 发布日期:2024-09-21
    • 文件大小:17408
    • 提供者:峰石
« 12 3 4 5 »

源码中国 www.ymcn.org