资源列表

« 1 2 ... .41 .42 .43 .44 .45 15946.47 .48 .49 .50 .51 ... 16928 »

[文档资料nRF24L01 中文资料

说明:nRF24L01
<wang6yanbo> 在 2011-06-27 上传 | 大小:518946 | 下载:1

[文档资料FSM 算法介绍

说明:本文详细介绍了有限状态机的设计方法,并用两个实际的例子描述了如何设计FSM,希望有兴趣的朋友能体会到FSM的优美之处。
<lwh7412@hotmail.com> 在 2011-06-28 上传 | 大小:699929 | 下载:0

[编程文档将weblogic9.2.3注册为windows服务

说明:将weblogic9.2.3注册为windows服务
<maweiming88@126.com> 在 2011-06-28 上传 | 大小:29696 | 下载:0

[软件工程让你的代码飞起来

说明:让你的代码飞起来...
<123@asd> 在 2011-06-28 上传 | 大小:367009 | 下载:6

[行业发展研究物联网传感网发展之路初探

说明:
<wenxiaolei73@sina.com> 在 2011-06-28 上传 | 大小:150894 | 下载:1

[行业发展研究TD技术发展与未来演进

说明:
<wenxiaolei73@sina.com> 在 2011-06-28 上传 | 大小:64753 | 下载:0

[行业发展研究TD-SCDMA产业链发展分析报告

说明:
<wenxiaolei73@sina.com> 在 2011-06-28 上传 | 大小:400120 | 下载:0

[文档资料用户界面与测评启发式报告

说明:本报告给出了用户界面与评测课程中的启发式报告的基本格式。希望给大家提供帮助呀。
<yegeqianyin> 在 2011-06-28 上传 | 大小:42496 | 下载:0

[文档资料全国606站气温资料

说明:全国所有探空站,共606站气温资料
<39987734@qq.com> 在 2011-06-28 上传 | 大小:1341952 | 下载:0

[编程文档3gpp-27007-630

说明:at-command 3gpp-27007-630
<kevinbaba> 在 2011-06-28 上传 | 大小:949417 | 下载:0

[电子商务电子商务中的供应链问题

说明:本文按照论文格式严格书写,主要探讨电子商务系统中的供应链问题
<xiaoling081wjj@163.com> 在 2011-06-28 上传 | 大小:121344 | 下载:0

[编程文档学生成绩管理

说明:
<lingling123456> 在 2011-06-28 上传 | 大小:82894 | 下载:0
« 1 2 ... .41 .42 .43 .44 .45 15946.47 .48 .49 .50 .51 ... 16928 »

源码中国 www.ymcn.org