资源列表
[文档资料] 电子商务客户网络购物行为挖掘
说明:电子商务客户网络购物行为挖掘.pdf<lvjiaguo868@sina.com> 在 2009-05-08 上传 | 大小:304.61kb | 下载:0
[文档资料] 自动售货机VHDL程序与仿真
说明:library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_l<niuyuanlai@163.com> 在 2009-05-08 上传 | 大小:199.5kb | 下载:0
[文档资料] 语音识别芯片选型
说明: 本资料介绍如何选择一块合适的语音识别芯片,帮助你进行这方面的开发<yongxuanchen> 在 2009-05-14 上传 | 大小:123.28kb | 下载:0