资源列表

[文档资料华为PCB设计规范

说明:华为的设计规范,很有参考价值
<richardhjc> 在 2009-05-07 上传 | 大小:359082 | 下载:0

[技术管理3G网络结构

说明:
<horrycgy> 在 2009-05-07 上传 | 大小:137216 | 下载:0

[文档资料javaee教程

说明:
<andyyixi@126.com> 在 2009-05-07 上传 | 大小:2450504 | 下载:0

[文档资料物流管理系统

说明:系统任务描述:为物流公司管理货物信息及其流转信息,管理线路、站点信息、费用标准,为分公司提供方便有效的分检和选派车辆的功能。 任务目标: 1、 物流公司信息管理 2、 分站点信息管理 3、 收费标准信息管理 4、 线路信息管理 5、 货物信息管理 6、 包装材料信息管理、 7、 职工信息管理 8、 按目的站点分检 9、 按线路分检点 10、 选择到达分站点的车辆 11、 选择到达目的站点的车辆 12、 根据可载
<xtzhoulu@163.com> 在 2009-05-08 上传 | 大小:909808 | 下载:2

[文档资料电子商务客户网络购物行为挖掘

说明:电子商务客户网络购物行为挖掘.pdf
<lvjiaguo868@sina.com> 在 2009-05-08 上传 | 大小:311917 | 下载:0

[文档资料RS232串口通信电平转化

说明:
<fjj027@163.com> 在 2009-05-08 上传 | 大小:9400 | 下载:0

[文档资料cn_mega128-128L

说明:
<fjj027@163.com> 在 2009-05-08 上传 | 大小:2599004 | 下载:0

[文档资料4G无线网络技术

说明:4G无线网络技术,英文版,经典
<miss_but_lose@163.com> 在 2009-05-08 上传 | 大小:12843969 | 下载:0

[文档资料matlab实用建模教程

说明:matlab实用建模教程
<zhbb101@126.com> 在 2009-05-08 上传 | 大小:162014 | 下载:0

[文档资料自动售货机VHDL程序与仿真

说明:library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_l
<niuyuanlai@163.com> 在 2009-05-08 上传 | 大小:204288 | 下载:0

[文档资料VC++中用Excel实现数据库表的导入与导出

说明:这是一个很好的VC++中用Excel实现数据库表的导入与导出程序
<ctj_88@126.com> 在 2009-05-09 上传 | 大小:185413 | 下载:1

[文档资料计算机网络安全教程PPT

说明:网络安全教程PPT下载
<cnyydeng@163.com> 在 2009-05-09 上传 | 大小:2918821 | 下载:1

源码中国 www.ymcn.org