资源列表

[软件工程signal_Amplifier

说明:模拟/混合信号:传感器连接是信号调理成功的关键 作者:Gene Heftman,特约编辑,《Electronic Design》
<Edward> 在 2008-10-13 上传 | 大小:487886 | 下载:0

[技术管理SPB152_EZ_Installation

说明:关于Cadence SPB 15.2的简易安装指南。供使用者参考。
<袁晓臻> 在 2008-10-13 上传 | 大小:3186093 | 下载:0

[技术管理2812

说明:tms2812说明书,对DSP开发者有用
<lander> 在 2008-10-13 上传 | 大小:1765976 | 下载:0

[软件工程000011111

说明:介绍了外置式USB无损图像采集卡的设计和实现方案,它用于特殊场合的图像处理及其相关领域。针对图像传输的特点,结合FPGA/CPLD和USB技术,给出了硬件实现框图,同时给出了FPGA/CPLD内部时序控制图和USB程序流程图,结合框图和部分程序源代码,具体讲述了课题中遇到的难点和相应的解决方案。
<兰升> 在 2008-10-13 上传 | 大小:141048 | 下载:0

[软件工程shenjingwangluo

说明:用神经网络进行多波段卫星信息的降水估测!
<zht> 在 2008-10-13 上传 | 大小:181917 | 下载:0

[软件工程ARMebeddevelopmenttecknology

说明:ARM ebed development tecknology
<yanghq> 在 2008-10-13 上传 | 大小:308206 | 下载:0

[技术管理SuperMapTutorial1

说明:supermap安装文档 包含delphi,vb,vc等安装说明
<jialei> 在 2008-10-13 上传 | 大小:1523809 | 下载:0

[软件工程20073200313469362

说明:HH微分方程的解法 使用matlab 解决一个方程组
<charliezkq> 在 2008-10-13 上传 | 大小:248030 | 下载:0

[技术管理xpe

说明:XPE为微软的嵌入式XP版本,组件化的操作系统使内核可进行裁减到很小尺寸,本文档为入门者很好的教程
<王治强> 在 2008-10-13 上传 | 大小:288008 | 下载:0

[软件工程verilobeida

说明:Verilog资料,很好的东西,欢迎大家下载
<hewei> 在 2008-10-13 上传 | 大小:1175403 | 下载:0

[软件工程TI_DSP_solution

说明:基于TI DSP解决方案的培训资料(德州仪器高性能DSP平台及解决方案开发技术)
<feiwei9696> 在 2008-10-13 上传 | 大小:3620112 | 下载:0

[技术管理MATLABDOCUMENT

说明:一些关于matlab的技术文档,对使用matlab的人,有很大的帮助
<wukai> 在 2008-10-13 上传 | 大小:1740449 | 下载:0

源码中国 www.ymcn.org