资源列表

« 1 2 ... .67 .68 .69 .70 .71 172.73 .74 .75 .76 .77 ... 651 »

[开发工具SDRAM_DEVICE_OPERATION

说明:三星公司SDRAM(K4S643232H-TC/L60 4 Banks x 512K x 32Bit Synchronous DRAM) 器件操作时序,本中文的页码和原英文对应的页码内容相对应-Samsung SDRAM (K4S643232H-TC/L60 4 x 51 Banks 2K x USB Synchronous DRAM) devices operate timing, the pages of the Chinese a
<佟小川> 在 2008-10-13 上传 | 大小:152588 | 下载:0

[开发工具diff-2.4.19-rmk2-pxa2

说明:,适合初学者看看。包括了原代码 可自由下载此源码
<gsgsgsgs> 在 2008-10-13 上传 | 大小:152348 | 下载:0

[开发工具onMATLABDthesecond

说明:基于MATLABD的线性二次型最优控制设计.二次型最优控制设计-MATLABD based on the linear quadratic optimal control design. Quadratic Optimal Control Design
<wang> 在 2008-10-13 上传 | 大小:152497 | 下载:0

[开发工具ecos

说明:该文档介绍了ECOS的结构,可以帮助读者快速熟悉构建自己的ECOS的过程.-the document on the ECOS the structure, can help users familiar with the rapid construction of the ECOS process.
<langziaqiu> 在 2008-10-13 上传 | 大小:152116 | 下载:0

[开发工具ans_Review1

说明:SSD2的练习,很好~欢迎使用~卡耐基的
<ghoul0813> 在 2008-10-13 上传 | 大小:152001 | 下载:0

[开发工具cdigitst

说明:显示数字电子时钟的程序,看代码的仔细了我在里加了两条语句,使一静态文本有3D的效果而原来的代码是没有的:)
<LR> 在 2008-10-13 上传 | 大小:152553 | 下载:0

[开发工具wwh

说明:万万行代码神话!-millions of lines of code myth!
<破天惊> 在 2008-10-13 上传 | 大小:152115 | 下载:0

[开发工具《Fireworks4.0教程》

说明:软件精彩教程\\《Fireworks4.0教程》.-excellent tutorial software \\ "Fireworks4.0 Guide."
<张思奇> 在 2008-10-13 上传 | 大小:152041 | 下载:0

[开发工具FA502细纱机控制系统应用变频调速和PLC改造

说明:FA502细纱机控制系统应用变频调速和PLC改造-FA502 fr a me Control System Application and Frequency Control PLC
<czx> 在 2008-10-13 上传 | 大小:152666 | 下载:0

[调试robot-plugin1

说明:robot-plugin1robot-plugin1robot-plugin1robot-plugin1
<gogotoday@qq.com> 在 2021-10-31 上传 | 大小:152244 | 下载:0

[其它MFD多变量频域设计

说明:MFD多变量频域设计,用于对多变量系统的设计(MFD multivariable frequency domain design for multivariable system design)
<MFD_zjh> 在 2022-11-01 上传 | 大小:152569 | 下载:0

[其它DarkEden Account Generator

说明:DarkEden Account Generator by Sepultura works all version v1,v2 v66x [Valeu Matheus pela sua contribuição!]
<kevin.day> 在 2023-10-29 上传 | 大小:152005 | 下载:0
« 1 2 ... .67 .68 .69 .70 .71 172.73 .74 .75 .76 .77 ... 651 »

源码中国 www.ymcn.org