资源列表

« 1 2 ... .35 .36 .37 .38 .39 20640.41 .42 .43 .44 .45 ... 20698 »

[WEB源码QRCode_WEB

说明:使用控件在线生成QRCode. 可以嵌入网页,生成页面URL的QRCode,方便手机拍下在手机上浏览页面.-use online control Generation QRCode. Can be embedded website Generating pages URL QRCode facilitate taken in the cell phone handset viewed pages.
<cxty> 在 2008-10-13 上传 | 大小:33036 | 下载:2

[WEB源码iWebOffice.ocx.vb.net

说明:iWebOffice系列文档控件,能够在浏览器窗口中直接编辑Word、Excel、PowerPoint等 Office文档并保存到Web服务器上。实现文档和电子表格和数据库的统一管理。同时支持痕 迹保留,手写签名,电子印章等办公自动化系统必备的功能。是OA系统开发的标准控件, 是保护您投资的最佳选择!-iWebOffice Series Document Control, in the browser window dire
<开心就好> 在 2008-10-13 上传 | 大小:2882169 | 下载:2

[JSP源码/JavaTemperatureController

说明:本程序分为界面和控制器核心两部分 一、界面部分功能主要有: (1)显示控制器核心数据和参数 (2)与用户交互,可以调节初始输入温度值,并将温度变化率清零以便进行新一轮的模拟。 界面使用了定时器。开启模拟时候,每隔一秒,触发一次计时器消息,完成下列工作: 1. 将界面上的当前温度映射为模糊控制器的输入温度 2. 输出当前温度,当前温度变化率 3. 调用模糊控制,得到控制器输出值,将其乘以m_fFuelEffec
<梁秀波> 在 2008-10-13 上传 | 大小:47985 | 下载:2

[WEB源码KL_FACE_RECOGNITION

说明:基于KL算法的人脸识别理论的研究及系统实现.nh-KL algorithm based on the theory of face recognition research and systems. Nh
<shj> 在 2008-10-13 上传 | 大小:3682499 | 下载:2

[JSP源码/Javarencaizhaopin

说明:人才招聘网站,该系统是从软件工程的角度的,以人才招聘网站的开发为例,详细介绍一个数据库应用系统的开发过程,介绍的人才系统使用SQL Server2000作为后台的数据管理系统,前端使用JDBC对象和数据环境为数据访问接口,JSP作为人机交互界面接口.-talent recruitment website, which is from the perspective of software engineering, to recruit
<刘军> 在 2008-10-13 上传 | 大小:1450034 | 下载:2

[WEB源码CDFwoliangliu

说明:关于涡量流函数边界处理的书籍,里面很详细的介绍了处理方法,有一定启发意思。-vortex flow on the border function of books, which is very detailed introduction to the method, which is inspired meaning.
<苏远海> 在 2008-10-13 上传 | 大小:1975125 | 下载:2

[WEB源码国家标准-软件开发规范

说明:国家标准-软件开发规范-national standard-standardized software development
<gfds> 在 2008-10-13 上传 | 大小:165697 | 下载:2

[JSP源码/Java数字签名

说明:进行数字签名的小程序-digital signatures of the small programs
<封硕> 在 2008-10-13 上传 | 大小:1352 | 下载:2

[WEB源码cww

说明:(一)功能要求: (1) 信息维护: 要求:学生信息数据要以文件的形式保存,能实现学生信息数据的维护。此模块包括子模块有:增加学生信息、删除学生信息、修改学生信息 (2) 信息查询:要求:查询时可实现按姓名查询、按学号查询 (3) 成绩统计:要求:A输入任意的一个课程名(如数学)和一个分数段(如60--70),统计出在此分数段的学生情况。 排序:能-(1) functional requirements : (a)
<wangteng> 在 2008-10-13 上传 | 大小:6765 | 下载:2

[JSP源码/Javaopen-cmpp1.0

说明:华为模拟网关源码 华为模拟网关源码
<qiaogao> 在 2008-10-13 上传 | 大小:1150551 | 下载:2

[WEB源码BCB_DLL

说明:C++Bulider编写 DLL 终极手册
<szx> 在 2008-10-13 上传 | 大小:9095 | 下载:2

[WEB源码KPCA(classicc)

说明:这是目前最经典的matlab实现的kpca代码,代码量已经很精简,但相信还有高手能做出最简化的代码
<二十八画生> 在 2008-10-13 上传 | 大小:1685 | 下载:2
« 1 2 ... .35 .36 .37 .38 .39 20640.41 .42 .43 .44 .45 ... 20698 »

源码中国 www.ymcn.org