资源列表

« 1 2 ... 23 24 25 26 27 2829 30 31 32 33 ... 319 »

[并行运算GA

说明:实现并行算法,尤其对遗传算法很有用,适合专业人员。 -Realize parallel algorithms, particularly useful for genetic algorithms, suitable for professionals.
<张斌> 在 2024-09-27 上传 | 大小:3641344 | 下载:0

[并行运算caculator04

说明:十进制、八进制和十六进制之间的转换以及不同的选择类型-convertor
<bert> 在 2024-09-27 上传 | 大小:3570688 | 下载:0

[并行运算programming_massively_parallel_processors

说明:Programming Massively Parallel Processors A Hands-on Approach by David B. Kirk and Wen-mei W. Hwu English version
<L> 在 2024-09-27 上传 | 大小:3564544 | 下载:0

[并行运算cluster-in-linux

说明:在linux下的一个集群建立的资料,详细介绍了方法和使用的工具-High Performance Linux Clusters with OSCAR Rocks OpenMosix and MPI
<Jenny> 在 2024-09-27 上传 | 大小:3637248 | 下载:0

[并行运算ForLBM2

说明:LBM方法的CPU实现,能正常运行,LBM是计算流体力学的一种新方法,它基于动理学模型,利用分布函数来描述由粒子组成的离散系统,通过计算机并行计算得到流场的各种参数-The realization the LBM method of CPU run correctly, LBM is a new method for computational fluid dynamics, based on the kinetic model usi
<tt> 在 2024-09-27 上传 | 大小:3578880 | 下载:0

[并行运算OpenCLTutorial-Chinese.pdf.tar

说明:学习OpenCL的教程,内容丰富,搞GPU并行计算,学习OpenCL会到。-OpenCL tutorial learning, content-rich, engaging in GPU parallel computing, OpenCL will to learn.
<lingfeng> 在 2024-09-27 上传 | 大小:3539968 | 下载:0

[并行运算cjsh_server

说明:游戏服务器源码 好强大-Game server source code
<hjsgdhj> 在 2024-09-27 上传 | 大小:3615744 | 下载:0

[并行运算parallel-algorithms-of-QR-and-yakebi

说明:求普通矩阵和对称矩阵的特征值的串行算法的并行实现。-Parallel eigenvalues ​ ​ of symmetric matrices ordinary matrix and serial algorithm.
<田嘉宁> 在 2024-09-27 上传 | 大小:3556352 | 下载:0

[并行运算openmp

说明:用OpenMp实现矩阵转置,输出转置结果和串行及并行的时间,进行比较-With OpenMp realization matrix transpose, transpose output serial and parallel results and time to compare
<xiao> 在 2024-09-27 上传 | 大小:3568640 | 下载:0

[并行运算FPGA

说明:FPGA数字逻辑的设计,对于FPGA设计初学者有很大帮助-FPGA digital logic design, FPGA design for beginners is a great help
<飞段> 在 2024-09-27 上传 | 大小:3600384 | 下载:0

[并行运算ddemical_to_bfloat

说明:fpga给pc发数据,用串口收到了之后,转换数据格式(3)-receive data fpga and transform data format
<石头> 在 2024-09-27 上传 | 大小:3549184 | 下载:0

[并行运算my_32fp_mult

说明:这是一个计算32位浮点数的除法器,ALTERA的FPGA可直接用,用VHDL语言写的,希望能帮助有需要的朋友-This is a 32-bit floating-point calculation of divider, ALTERA FPGA can be directly used, written in VHDL language, hoping to help a friend in need
<jane> 在 2024-09-27 上传 | 大小:3595264 | 下载:0
« 1 2 ... 23 24 25 26 27 2829 30 31 32 33 ... 319 »

源码中国 www.ymcn.org