资源列表

« 1 2 ... .13 .14 .15 .16 .17 11418.19 .20 .21 .22 .23 ... 14957 »

[并行运算gold_code_vhd_217

说明:gold_code_vhd_217源程序-gold_code_vhd_217 source
<zlw> 在 2024-09-20 上传 | 大小:4096 | 下载:0

[编译器/词法分析avcloneServer

说明:av clone server flash mx
<SINAN> 在 2024-09-20 上传 | 大小:9216 | 下载:0

[书籍源码3d__wave

说明:一个用vb做出来的三维动态波形,想学习这方面知道的可以研究研究~-a vb done with the three-dimensional dynamic waveform, to learn this can be aware of studies ~
<胡杰> 在 2024-09-20 上传 | 大小:25600 | 下载:0

[书籍源码quxianhuizhi

说明:用VB写的几种基本曲线的绘制程序,对于数据显示很有帮助-VB wrote several basic curve mapping procedures, helpful information
<胡杰> 在 2024-09-20 上传 | 大小:2048 | 下载:0

[书籍源码datasourceprint

说明:用VB编写一个关于数据库打印的程序-VB prepare a database on the Print procedure
<胡杰> 在 2024-09-20 上传 | 大小:7168 | 下载:0

[书籍源码3Dcar

说明:用VB编写一个非常好的赛车游戏,源程序来自网络,这里是转载~谢谢合作~-VB prepared a very good racing game, the source from the network, is reproduced here ~ ~ Thank you for your cooperation
<胡杰> 在 2024-09-20 上传 | 大小:3449856 | 下载:0

[书籍源码xiaocheyouxi

说明:用VB编写的一个小车游戏,-VB prepared a trolley game ,
<胡杰> 在 2024-09-20 上传 | 大小:2048 | 下载:0

[中间件编程testnull

说明:informix ESQL/C 测试程序-informix ESQL/C test procedures
<ys6891> 在 2024-09-20 上传 | 大小:1024 | 下载:0

[Dephi控件源码2003102411451426930

说明:用DELPHI开发的C/S结构的聊天系统,支持多人聊天-with the C/S structure of the chat system to support more than chatting
<黄露哲> 在 2024-09-20 上传 | 大小:531456 | 下载:0

[中间件编程mazesss

说明:很好的实现了功能,刚写的,请大家下载浏览啊-achieve good functional and just write, please visit the download ah!
<> 在 2024-09-20 上传 | 大小:1024 | 下载:0

[编译器/词法分析turboprog

说明:使用Matlab,编程实现Turbo码的编码及其解码算法,并给出在AWGN信道下该信道编码的性能-use Matlab, Turbo programming code coding and decoding algorithm, and gives the AWGN channel under the Channel Coding Performance
<王晓东> 在 2024-09-20 上传 | 大小:9216 | 下载:0

[书籍源码PIDcontrol_and_matlab_simulink

说明:详细介绍了各种先进的PID控制方法,并用Matlab对各种方法进行了仿真分析。相信对广大工程技术人员有所裨益。-detailed descr iption of the various advanced PID control method Matlab and used various methods to the simulation analysis. I believe that the majority of engineer
<xiaoliang8880> 在 2024-09-20 上传 | 大小:5843968 | 下载:0
« 1 2 ... .13 .14 .15 .16 .17 11418.19 .20 .21 .22 .23 ... 14957 »

源码中国 www.ymcn.org