资源列表

« 1 2 ... .50 .51 .52 .53 .54 755.56 .57 .58 .59 .60 ... 968 »

[其他行业jbntAreaCalc

说明:地理信息系统:土地管理,基本农田管理中,计算面状物体的椭球面积-Area calculate for jbnt manager
<quyongzhi> 在 2024-10-01 上传 | 大小:1820672 | 下载:0

[其他行业HASP_Hardlock_dongle_2007

说明:Emulator for HASP/HL for save hard lock key. All necessary soft inside, just unpack and use for emulation of hard lok.
<SERGIY> 在 2024-10-01 上传 | 大小:470016 | 下载:2

[其他行业SoundFont-Enabler

说明:SoundFont Enabler Software Design and Authoring:
<chipsdev> 在 2024-10-01 上传 | 大小:7496704 | 下载:0

[其他行业MainActivity

说明:Android Flash Light Class How to turn on & off Mobile flash light
<KeRolos> 在 2024-10-01 上传 | 大小:1024 | 下载:0

[其他行业read_amsr_subroutines_v7

说明:amsr_e处理程序Amsr_e processing program
<yushan> 在 2024-10-01 上传 | 大小:1024 | 下载:0

[其他行业proj4

说明:Numerical integration using guass and simpsons method. Also includes a example code which uses the above to calculate carbon diffusion in steel over time at various temperatures
<arvind singh> 在 2024-10-01 上传 | 大小:405504 | 下载:0

[其他行业darthmole101

说明:An implementation of newton and steffensons method for finding roots of function. A sample is also includes which can be used to calculate positions of planets in a simple planetary system-An implementation of newton an
<arvind singh> 在 2024-10-01 上传 | 大小:546816 | 下载:0

[其他行业111

说明:cad辅助插件可以方便快捷的进行作图 快捷键更改-cad Auxiliary plug
<王威> 在 2024-10-01 上传 | 大小:3189760 | 下载:0

[其他行业TCC-ufpethesis

说明:Uma Implementaç ã o Computacional de Estimativa Harmô nica Baseada na Série de Fourier Quantizada com Interface Gráfica
<6899518> 在 2024-10-01 上传 | 大小:838656 | 下载:0

[其他行业ANUSPLIN

说明:利用DOS界面,进行大气气象插值,得到的结果是目前最好的。非常重要的大气插值软件-Using DOS interface, atmospheric meteorological interpolation, the result is the best.Very important atmospheric interpolation software
<邓实权> 在 2024-10-01 上传 | 大小:1825792 | 下载:0

[其他行业ZJXXBH-20141229

说明:直接线性变换程序之理论与实践,物方坐标及像方坐标相互转化-direct linear transform
<王一> 在 2024-10-01 上传 | 大小:3072 | 下载:1

[其他行业500va

说明:UPS SMPS Cuircet daigram 120v
<Ibraheem Elhayelk> 在 2024-10-01 上传 | 大小:1003520 | 下载:0
« 1 2 ... .50 .51 .52 .53 .54 755.56 .57 .58 .59 .60 ... 968 »

源码中国 www.ymcn.org