资源列表

« 1 2 ... .46 .47 .48 .49 .50 18051.52 .53 .54 .55 .56 ... 28521 »

[matlab例程Simulacao_02

说明:Simulation of basic circuit eletric
<Rafaela> 在 2024-09-28 上传 | 大小:4096 | 下载:0

[matlab例程Simulacao_P1

说明:Simulation of basic circuit eletric
<Rafaela> 在 2024-09-28 上传 | 大小:4096 | 下载:0

[matlab例程ISAR

说明:ISAR点目标成像程序。根据ISAR概念写的,没有考虑包络对齐、初相补偿等。-ISAR point target imaging procedures. According to the concept of ISAR write, without considering envelope alignment, the initial phase compensation.
<小屯> 在 2024-09-28 上传 | 大小:4096 | 下载:0

[matlab例程lansvd

说明:lansvd分解算法,先对矩阵进行lanczos分解,再进行svd奇异值分解。代码里面含有注释。-lansvd decomposition algorithm, first lanczos the matrix decomposition, then svd singular value decomposition. Code which contains comments.
<大国之约> 在 2024-09-28 上传 | 大小:4096 | 下载:0

[matlab例程Chapter1

说明:《高等光学仿真》第一版的matlab源程序-" Advanced optical simulation," the first edition of matlab source
<moxiaomo> 在 2024-09-28 上传 | 大小:4096 | 下载:0

[人工智能/神经网络/遗传算法logistic-regression

说明:用java实现了逻辑回归的算法,压缩包中有测试数据可以使用.-Using java to implementation a logistic regression algorithm, the compressed package including test data.
<achun> 在 2024-09-28 上传 | 大小:4096 | 下载:0

[matlab例程Matlab-learning-book-codes

说明:selected matlab codes for numerical analysis numerical differentiation and root finding. Euler anf Ronge Kotta-selected matlab codes for numerical analysis numerical differentiation and root finding. Euler anf Ronge Kot
<behzad> 在 2024-09-28 上传 | 大小:4096 | 下载:0

[数学计算/工程计算FWM-phase-match-wavelength

说明:利用mathematica软件计算纳米光纤中的四波混频相位匹配曲线-FWM phase match wavelength calculation in nanofiber with mathematica
<guocheng> 在 2024-09-28 上传 | 大小:4096 | 下载:1

[数据结构常用算法Joseph

说明:java版的约瑟夫环实现,使用了内部类与循环链表-joshn in java with inner class
<demn> 在 2024-09-28 上传 | 大小:4096 | 下载:0

[matlab例程Flow-Calculation

说明:matlab编写的高等电力系统潮流分布的计算。适合于100节点以内计算,编程简洁易懂。节点个数的改变非常容易,数据结果直观。-matlab prepared calculate higher power flow distribution. Suitable for less than 100 computing nodes, concise and easy to understand programming. Changes in
<saomao > 在 2024-09-28 上传 | 大小:4096 | 下载:0

[人工智能/神经网络/遗传算法ganyingqi

说明:实现两类分类器的感应器,是模式识别学习入门-Two kinds of classifier sensors
<林晓> 在 2024-09-28 上传 | 大小:4096 | 下载:0

[人工智能/神经网络/遗传算法swarm-optimization-model

说明:基于微粒群模型的无线传感器网络节点部署研究-Research on the deployment of wireless sensor network node based on particle swarm optimization model
<祝民鹏> 在 2024-09-28 上传 | 大小:4096 | 下载:0
« 1 2 ... .46 .47 .48 .49 .50 18051.52 .53 .54 .55 .56 ... 28521 »

源码中国 www.ymcn.org