资源列表

« 1 2 ... .86 .87 .88 .89 .90 28191.92 .93 .94 .95 .96 ... 28521 »

[人工智能/神经网络/遗传算法REFS

说明:收集了遗传算法、进化计算、神经网络、模糊系统、人工生命、复杂适应系统等相关领域近期的参考论文和研究报告-Collection of genetic algorithms, evolutionary computation, neural networks, fuzzy systems, artificial life, complex adaptive system and other related fields refer to t
<增平> 在 2024-10-01 上传 | 大小:14616576 | 下载:0

[人工智能/神经网络/遗传算法CPPBuilder

说明:C++Builder中国象棋研发,里面含有人工智能象棋研发的基本教程,以及各种算法,搜索算法-C++ Builder development of Chinese chess, chess AI R & D which contains the basic tutorials, and a variety of algorithms, search algorithms
<吴鑫强> 在 2024-10-01 上传 | 大小:14621696 | 下载:0

[matlab例程MATLAB-SIMULINK

说明:MATLAB SIMULINK与控制系统仿真 王正林等编着-MATLAB/SIMULINK and control system
<liding> 在 2024-10-01 上传 | 大小:14650368 | 下载:0

[数值算法/人工智能a-collection-of-Kalman-Filter

说明:卡尔曼滤波大全集,我的说是课题就是利用这些东西做成的卡尔曼滤波。我想把这个里面的看懂了,一般的卡尔曼滤波是没有问题的-a collection of Kalman Filter.I hope it will help you,if needed.
<guyue> 在 2024-10-01 上传 | 大小:14623744 | 下载:0

[matlab例程KSVD-P-Sparse-Representation

说明:K-SVD SPARSE REPRESENTATION 基于学习的稀疏表示图像分析方法,以去噪为例。-K-SVD SPARSE REPRESENTATION
<方小舞> 在 2024-10-01 上传 | 大小:14626816 | 下载:1

[压缩解压cfree5.0

说明:超声波测距 stc89c51单片机 欢迎下载-Ultrasonic Ranging stc89c51 microcontroller are welcome to download
<anqi yu> 在 2024-10-01 上传 | 大小:14644224 | 下载:0

[matlab例程face

说明:本程序是运用SVM来实现人脸识别算法,能直接运行且能进行二次开发-This procedure is to use SVM to implement face recognition algorithm that can run directly and can perform a secondary development
<xiao> 在 2024-10-01 上传 | 大小:14644224 | 下载:0

[人工智能/神经网络/遗传算法deepnet-master

说明:Nitish Srivastava University of Toronto.利用GPU训练深度学习算法-Implementation of some deep learning algorithms. Nitish Srivastava University of Toronto. GPU-based python implementation of 1. Feed-forward Neural Nets 2.
<李胜力> 在 2024-10-01 上传 | 大小:14631936 | 下载:0

[matlab例程[muchong.com]MATLAB优化算法案例分析与应用

说明:matlab小算法学习优化问题的同学可以参考参考(Matlab small algorithm learning optimization problems students can refer to reference)
<加油土豆 > 在 2024-10-01 上传 | 大小:14636032 | 下载:1

[matlab例程Occupancy Grid Mapping

说明:占据栅格地图构建算法的文档和matlab例程,来源Coursera上的课程(Take up the documentation and Matlab routines of the grid map building algorithm, from the course on Coursera)
<Kira > 在 2024-10-01 上传 | 大小:14617600 | 下载:0

[数学计算/工程计算Fortran95程序设计【彭国伦】

说明:Fortran95程序设计【彭国伦】.pdf(Fortran95 programming [Peng Guolun].Pdf)
<随风奔跑 > 在 2024-10-01 上传 | 大小:14646272 | 下载:0

[人工智能/神经网络/遗传算法yolov5

说明:YOLOv5源码detcect.py可以 训练完成后实现目标检测
<1098568069@qq.com> 在 2021-08-09 上传 | 大小:14648367 | 下载:0
« 1 2 ... .86 .87 .88 .89 .90 28191.92 .93 .94 .95 .96 ... 28521 »

源码中国 www.ymcn.org