资源列表

« 1 2 ... .91 .92 .93 .94 .95 17296.97 .98 .99 .00 .01 ... 28521 »

[人工智能/神经网络/遗传算法devec3

说明:很完整的differential evolution算法的源码-Complete the source of the differential evolution algorithm
<LIMI> 在 2024-10-12 上传 | 大小:5120 | 下载:0

[matlab例程Phase

说明:FFT变换后,求取信号的振幅谱和相位谱,-Calculating the amplitude spectrum and phase signal spectrum
<hpc> 在 2024-10-12 上传 | 大小:1024 | 下载:0

[数据结构常用算法paixu

说明:实现了数据结构中直接插入排序、直接插入排序、折半插入排序、冒泡排序、简单选择排序等排序方法-To achieve data structure directly insertion sort, direct insertion sort, binary insertion sort, bubble sort, simple choice sort, sorting method
<辛欣> 在 2024-10-12 上传 | 大小:233472 | 下载:0

[人工智能/神经网络/遗传算法libsvmtest

说明:eclipse project导入即可使用。 本例包含用libsvm做训练分类用的完整实例。运行predict_svm.py 其中,pattern.txt是模式列表,train-c.txt,test-c.txt分别是训练集和测试集。其中svm.py和svmutil.py是来自libsvm官网3.11中的python包,经过修改之后的。 详情请看这里: 关于这个bug:http://www.tanglei
<tl3shi> 在 2024-10-12 上传 | 大小:20480 | 下载:0

[matlab例程zuijiaboshuxingcheng

说明:此程序是关于阵列信号处理中的最佳波束扫描,程序简单易懂,便于我们理解理论知识。-The program is about array signal processing the best of the beam scanning, and the program is easy, and for us to understand the theory of knowledge.
<lishuang> 在 2024-10-12 上传 | 大小:1024 | 下载:0

[matlab例程log_gabors

说明:this code is for gabor filter. For each orientation it gives the correct output
<KAVITHA S> 在 2024-10-12 上传 | 大小:569344 | 下载:0

[matlab例程MSequence.m

说明:M_sequence Generator
<jalil> 在 2024-10-12 上传 | 大小:1024 | 下载:0

[matlab例程hideandshow

说明:matlab中关于图片低四位隐藏以及提取的源码,cover为载体图象,message为信息图象,mix为隐藏图象,msg为提取后图象-the matlab About the picture low four hidden and extract the source
<李波> 在 2024-10-12 上传 | 大小:2546688 | 下载:0

[matlab例程emd

说明:EMD工具箱,网上很多EMD工具箱有误或者混乱,本EMD工具箱添加到MATLAB的toolbox即可用。-The EMD Toolbox, a lot EMD toolbox wrong or confusing, this EMD toolbox add to the MATLAB toolbox you can use.
<胡杰> 在 2024-10-12 上传 | 大小:93184 | 下载:0

[matlab例程mmse

说明:基于mmse的多用户mimo系统检测算法,空分复用多用户多输入多输出(MIMO)系统具有很高的频谱利用率,由于其系统结构和所处的信道环境的复杂-The spatial division multiplexing multi-user multiple-input multiple-output(MIMO)system has very high spectrum efficiency.However,its system struc
<azou> 在 2024-10-12 上传 | 大小:212992 | 下载:0

[数据结构常用算法Joseph-ring-of-c-language

说明:c语言的约瑟夫环编程的示范代码 Joseph ring-Joseph ring of c language programming demonstration code
<heyle> 在 2024-10-12 上传 | 大小:7168 | 下载:0

[matlab例程jiaquanzhixin

说明:wsn定位算法中,对质心算法进行改进的加权质心算法。-WSN positioning centroid algorithm to improve the weighted centroid algorithm code
<唐之皓> 在 2024-10-12 上传 | 大小:1024 | 下载:0
« 1 2 ... .91 .92 .93 .94 .95 17296.97 .98 .99 .00 .01 ... 28521 »

源码中国 www.ymcn.org