资源列表

« 1 2 ... .13 .14 .15 .16 .17 4018.19 .20 .21 .22 .23 ... 4311 »

[VHDL编程CRC-generator

说明:提出了一种32位并行和高度流水线的循环冗余码(CRC)发生器。 该设计可以处理5个不同的通道,每个输入速率为2Gbps(总输出吞吐量为5x4Gbps)。 生成的CRC与32位以太网标准兼容。 该电路已经在0.35Micron标准CMOS工艺中使用标准单元实现,其使用Galois Fields的特性,并且被认为是“自由的”IP。-A 32-bit parallel and highly pipelined Cyclic Redu
<asdtgg> 在 2024-10-10 上传 | 大小:449536 | 下载:0

[VHDL编程fast_antilog_latest.tar

说明:运行速度不如我的日志代码:166MHz,对于日志的250MHz。 注册输入会带来。 采取与日志相同的资源。-Doesn t run quite as fast as my Log code: 166MHz, vs. 250MHz for the log. Registering the input would bring that up. Takes about the same resources as the log.
<asdtgg> 在 2024-10-10 上传 | 大小:1024 | 下载:0

[VHDL编程cavlc-decode

说明:兼容ITU-T H.264(05/2003),但它不计算nC和存储TotalCoeff, 你需要在这个核心之外添加一个nc_decoder-Compatible with ITU-T H.264 (05/2003), but it do not calculate nC and store TotalCoeff, you need to add a nC_decoder outside this core
<asdtgg> 在 2024-10-10 上传 | 大小:517120 | 下载:0

[VHDL编程fixed_point_arithmetic

说明:该项目启动以便在verilog中创建定点(Q格式)算术模块-This project was started in order to create fixed point (Q format) arithmetic modules in verilog.
<asdtgg> 在 2024-10-10 上传 | 大小:7168 | 下载:0

[VHDL编程FPGA_USB_Communication

说明:本软件利用USB控制芯片cy7c68013A实现了USB通讯。压缩文件包括在fpga里面编程的vhdl软件-This software uses the USB control chip cy7c68013A to achieve the USB communication. The compressed file include programming in FPGA VHDL software
<kc218> 在 2024-10-10 上传 | 大小:3207168 | 下载:0

[VHDL编程FirFilterChol

说明:在FPGA利用vhdl实现了32阶FIR滤波器。已经我利用了在几个对象。-In FPGA using VHDL to achieve a 32 order FIR filter. I ve used in many objects.
<kc218> 在 2024-10-10 上传 | 大小:12197888 | 下载:0

[VHDL编程FPGA-for-UART-source-code

说明:针对UART接口通信FPGA的Verilog源代码,主要包括串口读和串口写个模块-Verilog source code for UART interface communication FPGA, including serial read and serial write module
<王大锤> 在 2024-10-10 上传 | 大小:173056 | 下载:0

[VHDL编程FPGA-VGA-interface-code

说明:针对显示器VGA接口通信FPGA的Verilog源代码,主要包括VGA行扫描和帧扫描模块-Verilog source code for communication VGA interface communication, including VGA line scan and fr a me scan module
<王大锤> 在 2024-10-10 上传 | 大小:513024 | 下载:0

[VHDL编程BUJINDIANJI

说明:考虑单片机资源以及实际工作需要,—般在255个加速台阶内完成达到最高速度的启动、加速全过程,而当实际需要的(最高)速度随每次的执行任务情况变化而改变时,我们在程序设计上就按照工作对象的最高速度计算参数表,在每次启动电机运行前恨据需要行走总步数换算出最高加速台阶数量,基本上按照三个1/3的办法去换算,即1/3的行走步数用于加速,1/3用于保持高速运行,1/3完成从高速到低速的降速停止,实现自动调速。根据实际需要也可以用2/5-1/5-2/
<申彦磊> 在 2024-10-10 上传 | 大小:11327488 | 下载:0

[VHDL编程UART

说明:可完美实现FPGA与PC之间串口通信(只可传输8位信息)-Perfectly realize serial communication between FPGA and PC (8 message transmission only)
<赵嘉楠> 在 2024-10-10 上传 | 大小:3297280 | 下载:0

[VHDL编程PC_FPGA_Communication

说明:本软件利用串口实现了电脑和FPGA的通讯。采用vhdl。就是为了FPGA开发的基础软件。-This software uses serial port to realize the communication between computer and FPGA. Using vhdl. this is the basic software to develop the FPGA.
<kc218> 在 2024-10-10 上传 | 大小:1514496 | 下载:0

[VHDL编程board

说明:4位led灯以二进制从0000变化到1111,再从1111变化到0000,外加温度检测-4 led lights change 0000 to 1111 in binary, then change 1111 to 0000, plus temperature measurement
<张小二> 在 2024-10-10 上传 | 大小:3447808 | 下载:0
« 1 2 ... .13 .14 .15 .16 .17 4018.19 .20 .21 .22 .23 ... 4311 »

源码中国 www.ymcn.org