资源列表

« 1 2 ... .54 .55 .56 .57 .58 3759.60 .61 .62 .63 .64 ... 4311 »

[VHDL编程twoto10

说明:实现从自然二进制码到8421BCD码的转换-Transition natural binary code to 8421BCD code
<常盛杰> 在 2024-10-15 上传 | 大小:131072 | 下载:0

[VHDL编程1602screen

说明:实现用FPGA驱动1602显示屏显示字符的程序-Implemented in FPGA-driven character display program 1602
<常盛杰> 在 2024-10-15 上传 | 大小:326656 | 下载:0

[VHDL编程qudou

说明:机械开关的去抖电路,去除因抖动造成的问题-Mechanical switch debounce circuit, removing problems caused due to jitter
<常盛杰> 在 2024-10-15 上传 | 大小:155648 | 下载:0

[VHDL编程DS18B20

说明:操作DS18B20,实时读取温度值,然后通过串口发送到PC 显示-Operating DS18B20, real-time read the temperature value, then sent to the PC via the serial display
<常盛杰> 在 2024-10-15 上传 | 大小:211968 | 下载:0

[VHDL编程example19-LCD1602

说明:FPGA驱动1602显示器输出固定字符的程序-FPGA drive 1602 fixed character display program output
<常盛杰> 在 2024-10-15 上传 | 大小:952320 | 下载:0

[VHDL编程simple-example-vga-spartan-3e

说明:make tic tac toe board on vga
<wildanihadi> 在 2024-10-15 上传 | 大小:193536 | 下载:0

[VHDL编程code

说明:本源码是基于VHDL语言环境下的基础实验源码,共分七个部分。分别是:序列检测器、数字密码锁、四位有符号数除法、同步FIFO、DPLL的设计以及Cordic 算法实现。对于VHDL的初学者具有极大的参考价值。-The source is based on experimental basis source VHDL language environment, it is divided into seven sections. They
<朱召宇> 在 2024-10-15 上传 | 大小:20480 | 下载:0

[VHDL编程eight_led

说明:用于毕业设计,可以作为一个好的末班.绝对是我有所致- A GOOD PROJECT
<王志超> 在 2024-10-15 上传 | 大小:368640 | 下载:0

[VHDL编程285driver

说明:CCD 传感器驱动时序硬件语言。 该程序为Sony的ICX 285芯片的驱动时序,外围硬件采用Sony推荐的驱动芯片-CCD sensor driver hardware language
<ljm> 在 2024-10-15 上传 | 大小:1640448 | 下载:0

[VHDL编程uart2spi_latest.tar

说明:UART转SPI IP核,测试可用,包括测试文件,Modelsim环境-UART to SPI IP core test available, including test papers, Modelsim environment
<C> 在 2024-10-15 上传 | 大小:1311744 | 下载:0

[VHDL编程CLOCK

说明:有關時鐘的兩個程式,一個是好改的時鐘,一個是可重新計時的Counter-frequency eliminator and counter
<changxing> 在 2024-10-15 上传 | 大小:1024 | 下载:0

[VHDL编程dh22

说明:這是一個非常失敗的dh22的verilog程式,用到算你雖,爽! -dh22 verilog
<changxing> 在 2024-10-15 上传 | 大小:1024 | 下载:0
« 1 2 ... .54 .55 .56 .57 .58 3759.60 .61 .62 .63 .64 ... 4311 »

源码中国 www.ymcn.org