资源列表

« 1 2 ... .24 .25 .26 .27 .28 2129.30 .31 .32 .33 .34 ... 2139 »

[DSP编程lab9-DA_AD

说明:YXDSP28335 模拟量输出和模拟量输入检查(YXDSP28335 analog output and analog input check)
<seekor> 在 2024-09-27 上传 | 大小:535552 | 下载:0

[DSP编程lab14-CAN

说明:YXDSP28335 CAN总线数据传输代码(CAN YXDSP28335 bus data transmission code)
<seekor> 在 2024-09-27 上传 | 大小:594944 | 下载:0

[DSP编程longname

说明:This routine fills in def with the long name of the terminal.
<jaoqouhie> 在 2024-09-27 上传 | 大小:6144 | 下载:0

[DSP编程ll_refresh

说明:Choose between two updating algorithms.
<mplokt> 在 2024-09-27 上传 | 大小:6144 | 下载:0

[DSP编程3107-sch

说明:卡拉OK前置处理器图纸,用DSP做的。可以参考一下的设计方案,成熟方案(Kara OK preprocessor drawings done by DSP. You can refer to the design, mature programs)
<ASMARTGUY> 在 2024-09-27 上传 | 大小:742400 | 下载:0

[DSP编程DSP-motor-control---program

说明:TMS320LF2407上实现快速傅里叶变换(FFT) TMS320LF2407与图形液晶显示模块接口及应用 串行EEPROM的接口编程(Fast Fourier Transform (FFT) on TMS320LF2407 TMS320LF2407 and graphics LCD module interface and application Serial EEPROM programming interface)
<蔡朦> 在 2024-09-27 上传 | 大小:156672 | 下载:0

[DSP编程fft

说明:基于DSP2812的快速傅里叶变换,非常好用,不容错过!!你值得拥有(DSP2812-based Fast Fourier Transform, very easy to use, not to be missed! ! You deserve to have)
<TSK> 在 2024-09-27 上传 | 大小:1024 | 下载:0

[DSP编程Sci02

说明:dsp2812的中断方式的串口通信例程,sci的,调试成功的。(dsp2812 of serial communication interrupt routines, sci, commissioning success.)
<yudian> 在 2024-09-27 上传 | 大小:465920 | 下载:0

[DSP编程bsl

说明:TI DSP c674x sample code TI DSP c674x sample code TI DSP c674x sample code(TI DSP c674x sample code TI DSP c674x sample code TI DSP c674x sample code )
<方豪> 在 2024-09-27 上传 | 大小:60416 | 下载:0

[DSP编程project_V3(注释)

说明:可以对所给正弦信号进行采样,并判断过零点且输出频率和正弦信号一样的方波信号。用ePWM模块来确定采样频率,来一次中断采样一次,确保采样率。并对所采样的数据进行有效值计算(The given sinusoidal signal can be sampled and the zero-crossing point can be determined and the square wave signal with the same frequ
<SHAKE1874> 在 2024-09-27 上传 | 大小:3078144 | 下载:0

[DSP编程28027AD温度采集

说明:使用28027ADC自带的温度采集功能,采集芯片上的温度信息,通过SPI在数码管上显示采集的温度,并且采集的温度信息进行了防抖动处理。(Using the temperature acquisition function of 28027 ADC, the temperature information on the chip is collected, and the collected temperature information
<鸡皮> 在 2024-09-27 上传 | 大小:3084288 | 下载:0

[DSP编程SY7.820-A011-A0

说明:资料包括24V,1KW的离网逆变器DSP和AD硬件原理图的设计和PCB板的制作,同时该模块还可以应用于不同的新能源场合。希望对大家有帮助(The data include the design of DSP and AD hardware schematic diagram of 24V, 1KW off-grid inverter, and the fabrication of PCB board. At the same time,
<啦啦啦10> 在 2024-09-27 上传 | 大小:684032 | 下载:0
« 1 2 ... .24 .25 .26 .27 .28 2129.30 .31 .32 .33 .34 ... 2139 »

源码中国 www.ymcn.org