资源列表

« 1 2 ... .66 .67 .68 .69 .70 271.72 .73 .74 .75 .76 ... 2741 »

[微处理器(ARM/PowerPC等)process

说明:用汇编语言编写的有关8255芯片的程序源文件,可以做抢答器-Responder can do for the 8255 chip program written in assembly language source file
<s> 在 2024-10-10 上传 | 大小:3072 | 下载:0

[微处理器(ARM/PowerPC等)keyb

说明:关于键盘的简单使用
<何刚> 在 2024-10-10 上传 | 大小:3072 | 下载:0

[微处理器(ARM/PowerPC等)iis

说明:S3C2440 IIS裸板驱动程序,能在裸板实现WAV文件的播放 -S3C2440 IIS video driver
<徐金明> 在 2024-10-10 上传 | 大小:3072 | 下载:0

[微处理器(ARM/PowerPC等)IMU

说明:Arduino 开发的用于测量三轴物体角度,角速度的程序-The Arduino Development for measuring triaxial object angle, angular velocity procedures
<liuxu> 在 2024-10-10 上传 | 大小:3072 | 下载:0

[微处理器(ARM/PowerPC等)weijishiyan

说明:危机原理的编程及其应用,包括各种cpu的代码和USB接口程序的编写-Programming and application of the principle of the crisis, including the preparation of a variety of cpu code and USB interface program
<姚振鹏> 在 2024-10-10 上传 | 大小:3072 | 下载:0

[微处理器(ARM/PowerPC等)DS18B20

说明:读DS18EA00 64ID的C语言请留意并参考并更新
<dsfs> 在 2024-10-10 上传 | 大小:3072 | 下载:0

[微处理器(ARM/PowerPC等)ADXL345

说明:adxl345 c CODE SAMPLE FOR A FRESH MAN ENGINEER W-adxl345 c CODE SAMPLE FOR A FRESH MAN ENGINEER
<wu> 在 2024-10-10 上传 | 大小:3072 | 下载:0

[微处理器(ARM/PowerPC等)Timer

说明:LCD12864驱动程序,里面有一个时钟程序。-LCD12864 driver, there is a clock program.
<linweijian> 在 2024-10-10 上传 | 大小:3072 | 下载:0

[微处理器(ARM/PowerPC等)mod()

说明:mod()函数的使用说明以及容易混淆使用的rem()函数的使用区别。-instructions for use of the mod () function, and confusing rem () function to use the difference between.
<闫鹏> 在 2024-10-10 上传 | 大小:3072 | 下载:0

[微处理器(ARM/PowerPC等)232-FOR-COM

说明:串口模拟实验,初学者使用,在STC串口运用中很多,可以直接调用函数-The serial simulation experiments, beginners, STC serial use many functions can be called directly
<jiuganl> 在 2024-10-10 上传 | 大小:3072 | 下载:0

[微处理器(ARM/PowerPC等)049bujindianji

说明:步进电机的编程,对于刚刚入手单片机的孩子有用-Stepper motor for children just starting microcontroller
<ab_ab> 在 2024-10-10 上传 | 大小:3072 | 下载:0

[微处理器(ARM/PowerPC等)stm32l1xx_iwdg

说明:stm32看门狗iwdg.c源码,看门狗的配置及初始化-stm32 the watchdog iwdg.c source code, configuration and initialization of the watchdog
<莫奕婕> 在 2024-10-10 上传 | 大小:3072 | 下载:0
« 1 2 ... .66 .67 .68 .69 .70 271.72 .73 .74 .75 .76 ... 2741 »

源码中国 www.ymcn.org