资源列表

« 1 2 ... .99 .00 .01 .02 .03 33904.05 .06 .07 .08 .09 ... 33935 »

[单片机(51,AVR,MSP430等)wiegan

说明:经典韦根接口,已用于成熟项目,做类似接口的朋友,可以参考(Classic weigen interface,Has been used for mature projects, do similar interface friends, can refer to)
<ttwutuobang> 在 2024-07-06 上传 | 大小:1024 | 下载:0

[VHDL编程adc_data_receive

说明:adc器件ads62p49模数转换代码,已在工程中验证可用(ADC device ads62p49 analog-to-digital conversion code has been validated in Engineering)
<清远怡然> 在 2024-07-06 上传 | 大小:1024 | 下载:0

[VHDL编程LFM

说明:该程序使用Verilog语言产生LFM信号(The program uses Verilog language to generate LFM signals.)
<阿华> 在 2024-07-06 上传 | 大小:1024 | 下载:1

[嵌入式/单片机编程K型热电偶测温驱动库

说明:K型热电偶驱运放放大后,使用插值法测温的驱动库, 方便移植到不同平台(After amplification of K-type thermocouple drive amplifier, the driver library of temperature measurement by interpolation method is used to facilitate transplantation to different plat
<志文> 在 2024-07-06 上传 | 大小:1024 | 下载:2

[硬件设计8Bit_ALU

说明:logisim设计 实现 加 减 与 或 异或 或非(Logisim design implements add and subtract and or exclusive or no)
<amdprocessor> 在 2024-07-06 上传 | 大小:1024 | 下载:0

[嵌入式/单片机编程ADC

说明:配置28377ADC模块,同时调用了中断函数,实现赋值给所需要的寄存器(Configure 28377 ADC module)
<zhao ke> 在 2024-07-06 上传 | 大小:1024 | 下载:1

[单片机(51,AVR,MSP430等)跑表

说明:使用STM32单片机,借助TFT触摸屏制作的跑表程序,目前用到TCA6424A,希望能够在该站上下载资料学习,谢谢。(Using STM32 MCU and TFT touch screen, TCA6424A is currently used in the running meter program. I hope I can download and learn the data at this station. Thank yo
<久伴我I> 在 2024-07-06 上传 | 大小:1024 | 下载:0

[单片机(51,AVR,MSP430等)STC8_Delay

说明:次代码是针对STC8系列的单片机的延时函数,使用 此代码可以直接添加调用,程序自动获取内部系统时 钟后初始化延时函数。只要是ISP软件默认的时钟频 率都可以应用(特殊频率除外) 该代码为初版,还存在很多缺陷,请各位大佬勿喷,谢谢! 注意: 次代码仅适用于STC公司最新的STC8系列单片机(Delay Function of Single Chip Microcomputer)
<yah518> 在 2024-07-06 上传 | 大小:1024 | 下载:0

[VHDL编程SPI_ADC

说明:spi串行输出ADC——AD7989的verilog源代码。(Spi serial output ADC - AD7989 Verilog source code.)
<Zhongzi123> 在 2024-07-06 上传 | 大小:1024 | 下载:0

[单片机(51,AVR,MSP430等)RPI_movemoent control

说明:在远程登录小车车载树莓派后使用按钮控制移动(Movement control based on remote desktop, control the movement of the car by clicking the button. Front Left Right Back)
<walden09> 在 2024-07-06 上传 | 大小:1024 | 下载:0

[单片机(51,AVR,MSP430等)PT2258

说明:音量控制芯片,六通道音量控制芯片,可单独和全控。(The volume control chip)
<相交> 在 2024-07-06 上传 | 大小:1024 | 下载:0

[嵌入式/单片机编程refractive

说明:能够对通过导入物质的S参数从而计算物质的电磁特性(The electromagnetic properties of materials can be calculated by introducing S parameters of materials.)
<123木头人32122> 在 2024-07-06 上传 | 大小:1024 | 下载:0
« 1 2 ... .99 .00 .01 .02 .03 33904.05 .06 .07 .08 .09 ... 33935 »

源码中国 www.ymcn.org