资源列表

« 1 2 ... .23 .24 .25 .26 .27 33628.29 .30 .31 .32 .33 ... 33934 »

[嵌入式/单片机编程cc430x613x_flashwrite_01

说明:CC430f5137的FLASH读写、擦除操作,希望对大家有帮助。-CC430f5137 the FLASH read and write, erase operation, we want to help.
<李百良> 在 2024-10-01 上传 | 大小:1024 | 下载:0

[单片机(51,AVR,MSP430等)DS1302

说明:DS1302时钟超出程序,自己参照资料编的,挺简洁的~-DS1302 clock out process, with reference to data compiled their own, very simple ~
<David> 在 2024-10-01 上传 | 大小:1024 | 下载:0

[嵌入式/单片机编程keypad-4x3-

说明:p89v51rd2 4x3 keypad
<mayur> 在 2024-10-01 上传 | 大小:1024 | 下载:0

[其他嵌入式/单片机内容isd_4004_drive

说明:本文件是针对语音芯片ISD400X的驱动程序编写,基于89c51单片开发的驱动程序。-This document is for the driver voice chip ISD400X written, based on the 89c51 chip development driver.
<> 在 2024-10-01 上传 | 大小:1024 | 下载:0

[其他嵌入式/单片机内容SClock

说明:Verilog 跑马灯 用于练习 比较简单 欢迎大家指教-Marquee simple Verilog for practice advice welcome
<> 在 2024-10-01 上传 | 大小:1024 | 下载:0

[DSP编程init

说明:ADSPTS201初始化相关程序,寄存器的配置代码,DMA方式数据读写-ADSPTS201 initialization procedures, the configuration register code, DMA mode data read and write
<litao> 在 2024-10-01 上传 | 大小:1024 | 下载:0

[DSP编程main

说明:ADSPTS201初始化相关程序,寄存器的配置代码,DMA方式数据读写,总线方式读写-ADSPTS201 initialization procedures, the configuration register code, DMA mode data read and write, read and write bus mode
<litao> 在 2024-10-01 上传 | 大小:1024 | 下载:0

[VHDL编程vhdl

说明:4位乘法器 vhdl library IEEE use IEEE.std_logic_1164.all entity one_bit_adder is port ( A: in STD_LOGIC B: in STD_LOGIC C_in: in STD_LOGIC S: out STD_LOGIC C_out: out STD_LOGIC ) en
<陈强> 在 2024-10-01 上传 | 大小:1024 | 下载:0

[VHDL编程12

说明:4位除法器 library IEEE use IEEE.std_logic_1164.all use IEEE.std_logic_unsigned.all entity fpdiv is port ( DIVz: out STD_LOGIC A: in STD_LOGIC_VECTOR (3 downto 0) B: in STD_LOGIC_VECTOR (3 downt
<陈强> 在 2024-10-01 上传 | 大小:1024 | 下载:0

[VHDL编程1

说明:一个VHDL实现的测频计 LIBRARY ieee USE ieee.std_logic_1164.all USE ieee.std_logic_arith.all USE ieee.std_logic_unsigned.all ENTITY freq IS PORT( Fsignal : IN std_logic -- Rst : IN std_logic Gate : IN st
<陈强> 在 2024-10-01 上传 | 大小:1024 | 下载:0

[VHDL编程2

说明:使用变量的状态机 library ieee use ieee.std_logic_1164.all ENTITY fsm2 IS PORT(clock,x : IN BIT z : OUT BIT) END fsm2 ------------------------------------------------- ARCHITECTURE using_wait OF fsm2 IS
<陈强> 在 2024-10-01 上传 | 大小:1024 | 下载:0

[VHDL编程yimaqi

说明:这是一个译码器,与编码器功能相反,可以用于设计抢答器等,便于在实验箱上演示-This is a decoder, and encoder On the contrary, can be used to design Responder so easy to be demonstrated in the experimental box
<孙法江> 在 2024-10-01 上传 | 大小:1024 | 下载:0
« 1 2 ... .23 .24 .25 .26 .27 33628.29 .30 .31 .32 .33 ... 33934 »

源码中国 www.ymcn.org