资源列表

« 1 2 ... .11 .12 .13 .14 .15 33616.17 .18 .19 .20 .21 ... 33934 »

[单片机(51,AVR,MSP430等)main

说明:/*** *** *** *** *** *** *** *** *** *** *** *** *** * Platform: PIC1687A Project : 实验3:单个按键控制LED闪灭 Clock F : 外部4M Software: PICC Author : comments: 学习使用单个按键控制LED的闪灭 PIC里面只有B口设置了上拉电阻, 用的时候必须用编程打开它,(OPT
<xjzxl> 在 2024-10-01 上传 | 大小:1024 | 下载:0

[单片机(51,AVR,MSP430等)main

说明:Platform: PIC1687A Project : 实验4:单个按键控制数码管显示 Clock F : 外部4M Software: PICC Author : comments: proteus仿真通过 -Platform: PIC1687A Project: Experiment 4: Single key control digital display Clock F: External 4M
<xjzxl> 在 2024-10-01 上传 | 大小:1024 | 下载:0

[嵌入式/单片机编程Adc-0809-programming

说明:it is an adc080 9progra-it is an adc080 9programmm
<asad> 在 2024-10-01 上传 | 大小:1024 | 下载:0

[微处理器(ARM/PowerPC等)F2812WD

说明:F2812的看门狗总结 这是我总结的F2812的看门狗功能使用! 看门狗,又叫 watchdog timer,是一个定时器电路, 一般有一个输入,叫喂狗,一个输出到MCU的RST端,MCU正常工作的时候,每隔一端时间输出一个信号到喂狗端,给 WDT 清零,如果超过规定的时间不喂狗,(一般在程序跑飞时),WDT 定时超过,就回给出一个复位信号到MCU,是MCU复位. 防止MCU死机. 看门狗的作用就是防止程序发生死循环,或者说程序
<bonwenli> 在 2024-10-01 上传 | 大小:1024 | 下载:0

[VHDL编程motor_sm

说明:此程序为步进电机的VHDL程序,可以实现对步进电机的控制-This procedure for stepper motor VHDL program can control the stepper motor
<zoumo> 在 2024-10-01 上传 | 大小:1024 | 下载:0

[单片机(51,AVR,MSP430等)Accumulator-cell-dump-energy-test

说明:基于51单片机的蓄电池剩余电量测试的源代码,比较适合于课程设计。-Microcontroller-based battery charge remaining 51 test source code, more suitable for the course design.
<齐飞> 在 2024-10-01 上传 | 大小:1024 | 下载:0

[单片机(51,AVR,MSP430等)thermostat

说明:恒温控制器,可以控制温度在一定范围之类,温度传感器是DS18B20-Thermostat to control the temperature and the like in a certain range, the temperature sensor is DS18B20
<齐飞> 在 2024-10-01 上传 | 大小:1024 | 下载:0

[单片机(51,AVR,MSP430等)51

说明:51内核下位机单片机的数据采集 及其串口配置和中断的配置
<谭孝超> 在 2024-10-01 上传 | 大小:1024 | 下载:0

[VHDL编程startstopwatch

说明:运动计时器的设计(1)在四个七段LED数码管上显示分钟和秒,最长的计时时间为59:59。 (2)按下清零按键,在四个七段LED数码管上显示的时间为00:00。 (3)按下启动/暂停按键,则启动或暂停计时器计时。其功能与实际的计时器的开始/停止按钮功能相同。设计一个能显示分、秒的计时器。在四个七段LED数码管上显示出来。-Sports timer design (1) in the four seven-segment LED d
<吴凡> 在 2024-10-01 上传 | 大小:1024 | 下载:0

[VHDL编程show1234

说明::在四个七段LED数码管上显示数字“1234”-: In the four seven-segment LED digital display the number " 1234"
<吴凡> 在 2024-10-01 上传 | 大小:1024 | 下载:0

[VHDL编程keyboard

说明:键盘输入实验 实验要求:利用实验板上的4×3小键盘,当检测到有键按下时,读取按键值并在LED数码管中显示该值。-Keyboard input test test requirements: use of experimental board 4 × 3 keypad when a key is detected, the read key value and the LED digital display the value.
<吴凡> 在 2024-10-01 上传 | 大小:1024 | 下载:0

[VHDL编程disp_on_ledspot

说明:LED点阵屏上文字显示实验 实验要求:在试验板的8×8的LED点阵屏上分别显示“PLD电子技术”。-LED dot matrix text display screen test test requirements: the test panels of 8 × 8 LED dot matrix display screen, respectively, " PLD electronic technology."
<吴凡> 在 2024-10-01 上传 | 大小:1024 | 下载:0
« 1 2 ... .11 .12 .13 .14 .15 33616.17 .18 .19 .20 .21 ... 33934 »

源码中国 www.ymcn.org