资源列表

« 1 2 ... .67 .68 .69 .70 .71 33772.73 .74 .75 .76 .77 ... 33935 »

[嵌入式Linuxarm_linux

说明:arm开发的相关资料,包括arm嵌入式开发流程的ppt,arm ads1.2集成环境的使用,s3 c2440,Micro2440核心板的电路原理,Micro2440的用户手册-arm development of relevant information, including arm embedded development process ppt, arm ads1.2 the use of integrated environm
<> 在 2024-10-20 上传 | 大小:29059072 | 下载:0

[VHDL编程VHDLshuzidianlushejijiaocheng

说明:VHDL数字电路设计教程 乔庐峰等译 当当网销量领先-VHDL tutorial on digital circuit design: (Brazil) Pedroni (Pedroni, VA) were, Joe Lu Feng, M. Publisher: Electronic Industry Press Dangdang sales leader
<王鹏> 在 2024-10-20 上传 | 大小:29060096 | 下载:0

[单片机(51,AVR,MSP430等)AirConditioning

说明:北邮计算机大作业, 自己实现空调的控制等等问题。有界面,可以实现中央空调和四个分机空调的调度和使用。有温度变化曲线~-Beijing University of Posts and Telecommunications large computer operations, to achieve their own air conditioning control and so on. Have interface, can achiev
<bupt> 在 2024-10-20 上传 | 大小:29081600 | 下载:1

[VHDL编程Circuit-Design-with-VHDL

说明:VHDL数字电路设计教程 作者:(巴西)佩德罗尼(Pedroni,V.A.) 著,乔庐峰 等译 本书采用将数字电路系统设计实例与可编程逻辑相结合的方法,通过大量实例,对如何采用VHDL进行电路设计进行了全面阐述。 本书分为三大部分:首先详细介绍VHDL语言的背景知识、基本语法结构和VHDL代码的编写方法;然后介绍VHDL电路单元库的结构和使用方法,以及如何将新的设计加入到现有的或自己新建立的单元库中,以便于进行代码的
<吴小平> 在 2024-10-20 上传 | 大小:29060096 | 下载:0

[单片机(51,AVR,MSP430等)small_rtos

说明:源码是基于51单片机平台的微型操作系统,可以实现多任务调度。-the source is a micro operating system based on the 51 MCU platform,witch can finish the mult-task schedule.
<lhl> 在 2024-10-20 上传 | 大小:29048832 | 下载:0

[其他嵌入式/单片机内容CC1101

说明:该段子程序为cc1101实例源代码,可方便用于cc1101开发-The scr ipts program cc1101 example source code can be easily used in cc1101 development
<杨海> 在 2024-10-20 上传 | 大小:29078528 | 下载:0

[uCOS开发STM32-ucOS-II-V2.86-uCGUI-3.9-DEMO

说明:基于STM32 的ucGUI uCOS示例源码,适用于奋斗版开发板-For STM32 ucGUI uCOS sample source code, apply to struggle Edition development board
<xxq> 在 2024-10-20 上传 | 大小:29044736 | 下载:0

[微处理器(ARM/PowerPC等)HY-FireBullPV1.0P2011.7.15

说明:stm32 火牛开发板全套开发代码,十分详细,烧写到开发板就能运行-AC adapter stm32 development board complete development code, very detailed, the development board can be programmed to run
<罗翔> 在 2024-10-20 上传 | 大小:29068288 | 下载:0

[VHDL编程i2c

说明:Program to access EEPROM using I2c VHDL
<ali> 在 2024-10-20 上传 | 大小:29074432 | 下载:0

[嵌入式Linuxipnc_app

说明:IP CAM(IPNC)中视频获取及H.264编码的应用程序,用于TI DM36X平台-IP CAM (IPNC) video acquisition and h. 264 coding applications, used for TI DM36X platform
<李国伟> 在 2024-10-20 上传 | 大小:29076480 | 下载:0

[微处理器(ARM/PowerPC等)program1

说明:压缩文件中包含基于STM32f103rbt6的五个例程,跑马灯,按键输入、按键中断、串口中断以及串口输出,供大家参考。- U538B u658 u4EF6 u4E2 u5E1 u5E3 u5133 u5325 u5325 u3129 u03H09 U952E u4E2D u65AD u3001 u4E32 u53E3 u4E2D u65AD u4EE5 u53CA u4E32 u53E3
<阿里贷款> 在 2024-10-20 上传 | 大小:29058048 | 下载:0

[单片机(51,AVR,MSP430等)SpiderXCube-master

说明:STM32平台 蓝牙控制 多个舵机 资料(STM32 platform Bluetooth controls multiple servo data)
<tmbeyond > 在 2024-10-20 上传 | 大小:29065216 | 下载:0
« 1 2 ... .67 .68 .69 .70 .71 33772.73 .74 .75 .76 .77 ... 33935 »

源码中国 www.ymcn.org