资源列表

« 1 2 ... .92 .93 .94 .95 .96 33697.98 .99 .00 .01 .02 ... 33935 »

[嵌入式/单片机编程PROTEL PCB资料

说明:有关protel PCB设计的有关注意事项和经验--Issues and lessons during designing protel PCB.
<汤尔昌> 在 2008-10-13 上传 | 大小:24626655 | 下载:0

[嵌入式/单片机编程PROTEL PCB资料

说明:有关protel PCB设计的有关注意事项和经验--Issues and lessons during designing protel PCB.
<汤尔昌> 在 2024-10-29 上传 | 大小:24626176 | 下载:0

[单片机(51,AVR,MSP430等)MSP430xilieshijian

说明:MSP430系列16位超低功耗单片机实践 -MSP430系列16位超低功耗单片机MSP430系列16位超低功耗单片机
<威威> 在 2024-10-29 上传 | 大小:24592384 | 下载:0

[嵌入式/单片机编程PLC12

说明:欧姆龙PLC的视频教程,它对学习PLC有很好的帮助,这是第12集。-Omron PLC' s video tutorial, its a very good learning PLC help, this is the first of 12 sets.
<ngfwin> 在 2024-10-29 上传 | 大小:24573952 | 下载:0

[DSP编程tretter

说明:Communication System Design Using DSP Algorithms with Lab Expers for the TMS320C6713 DSK - S. Tretter (Springer, 2008) WW.pdf书和代码都有,超好-Communication System Design Using DSP Algorithms with Lab Expers for the TMS320C6713
<www> 在 2024-10-29 上传 | 大小:24572928 | 下载:0

[VHDL编程FIR

说明:FIR filter up to 128x
<mlapov> 在 2024-10-29 上传 | 大小:24576000 | 下载:0

[VHDL编程EDA-and-Technology-Application

说明:EDA技术综合应用实例与分析的课堂讲义,ppt格式的,里面有很多例程,例如第14章 出租车计费系统,第9章 电梯控制器的设计与分析,第12章 图像边缘检测器的设计-EDA and Technology Application and analysis of the lecture notes, ppt format, there are many routines, such as Chapter 14, a taxi billing
<侯娟> 在 2024-10-29 上传 | 大小:24608768 | 下载:1

[单片机(51,AVR,MSP430等)STM32F4xx_DSP_StdPeriph_Lib_V1.2.0

说明:STM32F4xx_DSP_StdPeriph_Lib_V1.2.0 2013.11 最新的STM32F4xx标准库-STM32F4xx_DSP_StdPeriph_Lib_V1.2.0 2013.11 fireware lib
<柳心雨> 在 2024-10-29 上传 | 大小:24589312 | 下载:0

[VHDL编程hdmi_20130227

说明:(1)包含驱动HDMI编码芯片Sil9134的时序逻辑和寄存器初始化代码,输出测试图像格式为1080P@30Hz;(2)使用Vivado2013.3开发,硬件平台为威视锐Zing开发板,搭载Xilinx Zynq7020芯片。-(1) contains drivers HDMI encoder chip Sil9134 timing logic and register initialization code, output test
<郝教授> 在 2024-10-29 上传 | 大小:24596480 | 下载:1

[VHDL编程hello_sd

说明:基于fpga verilog 语言和nios ii实现的spi模式下sd卡驱动,以及加入znfat文件系统的sd卡驱动,可读取sd卡内的文件。-Based on the language and under the fpga verilog realize spi mode nios ii sd card driver, and adding znfat sd card file system driver, you can read
<lht> 在 2024-10-29 上传 | 大小:24584192 | 下载:0

[嵌入式LinuxButton_OK

说明:本例程属于STM32F103 实现UC/GUI的简单按钮实验,通过此例程可以了解图形化界面的实现原理。-This routine belongs STM32F103 achieve UC/GUI simple button experiments, this routine can understand graphical interface principle.
<since> 在 2024-10-29 上传 | 大小:24584192 | 下载:0

[VHDL编程Wavemaster_W5300

说明:用FPGA语言,基于W5300芯片实现TCP/IP协议的网络传输,将W5300部分程序实现IP封装,只有输入输出管脚和时钟,复位等管脚(FPGA language is used to realize the network transmission of TCP/IP protocol based on W5300 chip. The W5300 part of the program realizes IP packaging, a
<董教授> 在 2024-10-29 上传 | 大小:24583168 | 下载:0
« 1 2 ... .92 .93 .94 .95 .96 33697.98 .99 .00 .01 .02 ... 33935 »

源码中国 www.ymcn.org