资源列表

« 1 2 ... .06 .07 .08 .09 .10 33411.12 .13 .14 .15 .16 ... 33934 »

[单片机(51,AVR,MSP430等)ziliao

说明:单片机课程设计说明书格式,可供做课程设计的同学们参考-Singlechip curriculum design manual format, for students to do course design reference
<芦莎莎> 在 2024-10-05 上传 | 大小:15604736 | 下载:0

[单片机(51,AVR,MSP430等)Keil_C702

说明:用来调试C51程序的软件,用过的,挺好用的!大家可以试试。-C51 procedures used to debug software, used, very good use! You can try.
<keke> 在 2024-10-05 上传 | 大小:15593472 | 下载:0

[嵌入式/单片机编程wavelet

说明:超小波分析及应用PPT 小波变换的课程教学ppt-Beyond wavelet
<王智> 在 2024-10-05 上传 | 大小:15599616 | 下载:0

[其他嵌入式/单片机内容OmManual

说明:PLC programming Instructions and programmer manuals By : Omron company
<omid> 在 2024-10-05 上传 | 大小:15595520 | 下载:0

[嵌入式/单片机编程S3C2440A

说明:S3C2440微处理机是Samsung公司以ARM为架构所推出的ARM920T的微处理器核心-Samsung' s S3C2440 microprocessor is the ARM-architecture introduced ARM920T microprocessor core
<危丽萍> 在 2024-10-05 上传 | 大小:15593472 | 下载:0

[单片机(51,AVR,MSP430等)tPadDE2-115handbook

说明:tPad开发板DE2-115资料中文版,如需要说明中的例程,请联系我-tPad development board DE2-115 Chinese version information, such as the need to explain the routine, please contact me
<杨平平> 在 2024-10-05 上传 | 大小:15599616 | 下载:0

[微处理器(ARM/PowerPC等)STM32-example-code

说明:内部包含了32个STM32 的开发实例,可以帮助初学者进行相应的应用开发,可以在源代码上进行相应的修改。-Internal contains 32 STM32 development examples, can help beginners to corresponding application development, can in the source code on the corresponding modification.
<周军> 在 2024-10-05 上传 | 大小:15599616 | 下载:0

[微处理器(ARM/PowerPC等)STM32L1xx_iap

说明:stm32L系列的iap方式,用串口进行iap,开发环境mdk-stm32L series iap iap, development environment, with serial mdk
<ye> 在 2024-10-05 上传 | 大小:15590400 | 下载:0

[其他嵌入式/单片机内容STC-STUDY-BOARD4-SCH-C-ASM-VER2.RAR

说明:stc15单片机开发版资料(包含代码和原理图)-stc15 code
<李明> 在 2024-10-05 上传 | 大小:15604736 | 下载:0

[嵌入式/单片机编程4月26日课程 TFT屏SSD1289

说明:用MSP430单片机驱动SSD1289TFT屏幕(Drive SSD1289TFT screen with MSP430 Singlechip)
<十月的记忆 > 在 2024-10-05 上传 | 大小:15594496 | 下载:0

[嵌入式/单片机编程传感器数据采集板

说明:电子秤原理图,都大量原理原图提供。很详细。值得一看。(The schematic diagram of the electronic scale is provided with a large number of principles. It's very detailed. It's worth seeing.)
<zx900228> 在 2024-10-05 上传 | 大小:15599616 | 下载:0

[VHDL编程Labview

说明:labview调用halcon 缩放显示图片
<zhangjian1234> 在 2020-02-07 上传 | 大小:15594338 | 下载:0
« 1 2 ... .06 .07 .08 .09 .10 33411.12 .13 .14 .15 .16 ... 33934 »

源码中国 www.ymcn.org