资源列表

« 1 2 ... .56 .57 .58 .59 .60 26961.62 .63 .64 .65 .66 ... 33934 »

[微处理器(ARM/PowerPC等)SEED-F2812

说明:SEED-F2812原理图,几乎包括了F2812的所有典型应用-Schematic SEED-F2812, F2812 nearly all the typical application
<charles> 在 2024-10-08 上传 | 大小:800768 | 下载:0

[其他嵌入式/单片机内容RDA5800

说明:5800软件调试问题解答;RDA5800系列芯片应用问题解答;源代码-FAQ 5800 software debugging RDA5800 Series FAQ chip source code
<张储> 在 2024-10-08 上传 | 大小:800768 | 下载:0

[VHDL编程VHDL.2008.Just.the.New.Stuff

说明:A new book on new vhdl standard "VHDL-2008" by peter ashenden
<kajal> 在 2024-10-08 上传 | 大小:800768 | 下载:0

[单片机(51,AVR,MSP430等)IO

说明:STM32单片机中IO口测试程序,型号STM32F103RB。-I STM32 MCU IO test procedure, model STM32F103RB.
<junxuan> 在 2024-10-08 上传 | 大小:800768 | 下载:0

[单片机(51,AVR,MSP430等)MSP430F149

说明:msp430详细功能介绍及使用文档(英文)-introduction to msp430
<zhangyi> 在 2024-10-08 上传 | 大小:800768 | 下载:0

[微处理器(ARM/PowerPC等)S3C2440

说明:S3C2440中文电子书,解释了S3C2440的寄存器,及使用方法-S3C2440 Chinese e-books, explains the S3C2440 register, and the use
<liujiafu> 在 2024-10-08 上传 | 大小:800768 | 下载:0

[单片机(51,AVR,MSP430等)DM12864

说明:128*64 LCD显示图片、文字,有LCD资料和源码-128* 64 LCD display pictures, text, there are LCD data and source code
<黄晓华> 在 2024-10-08 上传 | 大小:800768 | 下载:0

[VHDL编程vhdl-2008-just-the-new-stuff-systems-on-silicon.r

说明:VHDL is defined by IEEE Standard 1076, IEEE Standard VHDL Language Reference Manual (the VHDL LRM). The original standard was approved in 1987. IEEE procedures require that standards be periodically reviewed and either r
<chane> 在 2024-10-08 上传 | 大小:800768 | 下载:0

[微处理器(ARM/PowerPC等)I2C_EEPROM_OK

说明:基于STM32平台,自创的原代码,I2C与EEPROM通信,很好用-Based on the STM32 platform, create their own source code, I2C communication with the EEPROM, very good use
<celery> 在 2024-10-08 上传 | 大小:800768 | 下载:0

[嵌入式/单片机编程getting-started-project-at91sam9261-ek

说明:arm平台下的按键中断程序,是学习ARM和嵌入式编程绝佳的代码-arm platform of the key interrupt procedure is an excellent learning ARM and embedded programming code
<jiang wei> 在 2024-10-08 上传 | 大小:800768 | 下载:0

[其他嵌入式/单片机内容fec_package_v1_1

说明:forward error correction and viterbi decoder source package in C language
<shubh> 在 2024-10-08 上传 | 大小:800768 | 下载:0

[嵌入式/单片机编程x16x

说明:MSP430X16X系列例程,是初学MSP430单片机的好例程;-MSP430X16X series of routines, is a good beginner routine MSP430 microcontroller
<庞伟振> 在 2024-10-08 上传 | 大小:800768 | 下载:0
« 1 2 ... .56 .57 .58 .59 .60 26961.62 .63 .64 .65 .66 ... 33934 »

源码中国 www.ymcn.org