资源列表

« 1 2 ... .17 .18 .19 .20 .21 26822.23 .24 .25 .26 .27 ... 33934 »

[嵌入式/单片机编程lcd_restored

说明:这是一个用VHDL +图形法在CPLD内部搭建的液晶显示的驱动程序。液晶是ocmj5*10系列-This is a graphic with VHDL in CPLD internal structures of the LCD driver. LCD is ocmj5 Series 10 *
<王伟晓> 在 2008-10-13 上传 | 大小:769284 | 下载:0

[嵌入式/单片机编程SimuCenter_Code

说明:控制系统组态软件的开发-Control System Configuration Software
<> 在 2024-10-06 上传 | 大小:770048 | 下载:0

[其他嵌入式/单片机内容skyeye_1_2_2_Rel

说明:skyeye_1_2_2_Rel.rar 最新skyeye源码-skyeye_1_2_2_Rel.rar latest skyeye FOSS
<陈慧君> 在 2024-10-06 上传 | 大小:770048 | 下载:1

[微处理器(ARM/PowerPC等)pxa255lcdcontrol

说明:对pxa255内置lcd控制器编程来实现字符及图像的显示,基于ads1.2-right pxa255 lcd controller embedded programming to achieve characters and the images show that, for ads1.2
<王可> 在 2024-10-06 上传 | 大小:770048 | 下载:0

[VxWorks27112557104

说明:VxWorks源码,但似乎不够全,主要是arch目录下包括的体系结构比较少-VxWorks source code, but it seems not wholly, mainly arch directory architecture, including relatively small
<朱晓静> 在 2024-10-06 上传 | 大小:770048 | 下载:0

[DSP编程time-freuqnecy_distribution

说明:用于信号处理里面的时频分析,可以直接用于matlab里面-For signal processing inside the time-frequency analysis can be used directly inside matlab
<杨森林> 在 2024-10-06 上传 | 大小:770048 | 下载:0

[微处理器(ARM/PowerPC等)Exp7LCD

说明:基于arm7的lcd显示图片 三星44B0-ARM7-based picture of the lcd display Samsung 44B0
<lilong> 在 2024-10-06 上传 | 大小:770048 | 下载:0

[嵌入式/单片机编程EasyARM2100

说明:EasyARM2100工程模板,和ADS使用的,不错的东西-EasyARM2100 project templates, and ADS use, good things
<郭晓强> 在 2024-10-06 上传 | 大小:770048 | 下载:0

[单片机(51,AVR,MSP430等)407_SRAM

说明:基于STM32F407的外部SRAM程序。-application of SRAM
<king> 在 2024-10-06 上传 | 大小:769024 | 下载:0

[单片机(51,AVR,MSP430等)DAC0832

说明:模数转换 DAC0832的应用 输出0~5V的锯齿波或三角波-Analog-to-digital conversion
<ggxh> 在 2024-10-06 上传 | 大小:769024 | 下载:0

[uCOS开发UCOSIII-memory-management

说明:嵌入式实时操作系统UCOS实现内存管理,代码基于STM32单片机-UCOS embedded real-time operating system memory management
<xujiong> 在 2024-10-06 上传 | 大小:769024 | 下载:0

[嵌入式Linuxintdump

说明:实现积分与转存的功能,输入输出接口均为二维数组(Integral and transfer functions, input and output interfaces are two-dimensional array)
<发放啊> 在 2024-10-06 上传 | 大小:769024 | 下载:0
« 1 2 ... .17 .18 .19 .20 .21 26822.23 .24 .25 .26 .27 ... 33934 »

源码中国 www.ymcn.org