资源列表

« 1 2 ... .34 .35 .36 .37 .38 26739.40 .41 .42 .43 .44 ... 33934 »

[嵌入式/单片机编程EBDSAM7S64-Interrupt-ADS

说明:本程序为完成各种中断的演示.at91sam7s64芯片,ads1.2编译器.代码说明: 1)完成基本的初始化 2)初始化PIO,IRQ,FIQ,software_interrupt等 3)驱动板上的四个按键(PB1,PB2,PB3,PB4) 4)初始化DEBUG口,Usart1等 5)初始化定时器timer0,timer1等-procedures for the completion of the vari
<aki> 在 2008-10-13 上传 | 大小:750072 | 下载:0

[嵌入式/单片机编程writeyourembededos

说明:一本叫自己动手写嵌入式操作系统的书的源代码,作者是蓝枫叶,详细介绍了如何写一个嵌入式操作系统,而不是操作系统
<广工男生> 在 2008-10-13 上传 | 大小:750322 | 下载:0

[微处理器(ARM/PowerPC等)ARM developer

说明:ARM开发软件使用教程-ARM software directory
<> 在 2024-10-04 上传 | 大小:750592 | 下载:0

[DSP编程qmath

说明:TI 定点函数库:QSIN、QSINLT、QCOS、QCOSLT、QATAN、QSQRT、QLOG10、QLOGN、QINV1、QINV2、QDIV-TI fixed-point functions : QSIN, QSINLT, QCOS, QCOSLT, QATAN. QSQRT, QLOG10, QLOGN, QINV1, QINV2, QDIV
<陈同> 在 2024-10-04 上传 | 大小:750592 | 下载:0

[单片机(51,AVR,MSP430等)msp430_C_source

说明:msp430常用C语言模块,包括串行通信等.-MSP430 common C language module, including the serial communications.
<wer> 在 2024-10-04 上传 | 大小:750592 | 下载:0

[VxWorkssome_s3c2410_can_paper

说明:some paper about can bus application based on s3c2410...vxworks os
<wjarjar> 在 2024-10-04 上传 | 大小:750592 | 下载:0

[单片机(51,AVR,MSP430等)VHDL

说明:VHDL程序结构与规则 非常适合初学者和进阶 绝对实用-VHDL program structure and rules are very suitable for absolute beginners and advanced practical
<李诗人> 在 2024-10-04 上传 | 大小:750592 | 下载:0

[VHDL编程3_3_mean_diltter(ALU)

说明:3*3均值滤波的VHDL语言实现的工程,对红外图像进行有效的去噪处理。这是其中的ALU模块,专门用来测试其延迟状况的模块。-3* 3 mean filter VHDL language works effectively on the infrared image denoising. This is one of the ALU module, designed to test the status of the module del
<gglight> 在 2024-10-04 上传 | 大小:749568 | 下载:0

[单片机(51,AVR,MSP430等)DS18B20multiload

说明:用ds18b20进行温度采集并控制,十分简单,程序写进去就可以用-With ds18b20 collected and the temperature control is very simple, the program included in the family to stay with the
<Johnny30> 在 2024-10-04 上传 | 大小:749568 | 下载:0

[DSP编程ADSP_BF561-chinese-datasheet

说明:附件内容:ADSP_BF561 是一款功能强大的视频处理dsp附件为其中文数据手册-Attachment content: ADSP_BF561 is a powerful video processing dsp data sheet annex to its Chinese
<han> 在 2024-10-04 上传 | 大小:749568 | 下载:0

[VHDL编程sc

说明:密码锁 可以输入密码 并 进行密码比较,三次错误密码即锁定并报警-Lock can be password and the password comparison, the wrong password three times the lock and alarm
<李幸元> 在 2024-10-04 上传 | 大小:749568 | 下载:0

[微处理器(ARM/PowerPC等)s3c2440

说明:S3C2440的裸机开发程序,对于初学ARM的人有帮助。-mini2440 bare-metal development process, the ARM were very helpful for beginners.
<hsq> 在 2024-10-04 上传 | 大小:749568 | 下载:0
« 1 2 ... .34 .35 .36 .37 .38 26739.40 .41 .42 .43 .44 ... 33934 »

源码中国 www.ymcn.org