资源列表

« 1 2 ... .80 .81 .82 .83 .84 33685.86 .87 .88 .89 .90 ... 33934 »

[Windows CEwince_Powermanager

说明:Windows Mobile平台电源管理示例,非常不错的代码-Windows Mobile platform for power management examples, very good code
<anty> 在 2024-09-29 上传 | 大小:9216 | 下载:0

[Windows CEwince_Sendmail

说明:Windows mobile平台下邮件的简单示例-Windows mobile platform e-mail simple example
<anty> 在 2024-09-29 上传 | 大小:8192 | 下载:0

[VHDL编程examples

说明:内附几十个不同器件的设计原理和设计步骤,很全,大家参考-Containing dozens of different devices design principles and design steps, it is the whole, we refer to
<lijq> 在 2024-09-29 上传 | 大小:113664 | 下载:0

[VHDL编程RS232

说明:RS232串口通信协议,verilog实现,通过FPGA完全调通。-RS232 serial communication protocol, verilog achieved entirely through the FPGA transfer pass.
<dingsheng> 在 2024-09-29 上传 | 大小:3072 | 下载:0

[单片机(51,AVR,MSP430等)jienengyongshuikongzhixitong

说明:节能用水控制系统由微控制器,红外发射接收电路,压力监测电路,手动冲水电路,脉冲阀节能驱动电路,水管温度上下限识别电路,温度调节电路,冷热水阀节能驱动控制电路,洗澡开关监测电路,电池电量检测报警电路,低压标准电源转换电路,串行数据输出控制电路等构成。程序包括: 设备识别及初始化 初始引脚数据值 中断初始化 初始化各标志位 循环查询开始 CSSTATE大小比较子程序 厕所脉冲阀打开子程序 厕所脉冲阀关闭子程序
<陈绪戈> 在 2024-09-29 上传 | 大小:316416 | 下载:0

[VHDL编程WAVE

说明:关于波形发生功能的Verilog代码和Quartus文件完整文档。-Waveform occurred on the function of Verilog code and Quartus files a complete document.
<dan> 在 2024-09-29 上传 | 大小:1409024 | 下载:0

[VHDL编程fdmk

说明:键盘防抖模块Verilog硬件描述语言代码-Anti-Shake module keyboard Verilog hardware descr iption language code
<dan> 在 2024-09-29 上传 | 大小:1024 | 下载:0

[嵌入式/单片机编程PEX8311

说明:PEX 8311 ExpressLane PCI Express-to-Generic Local Bus Bridge Data Book -PEX 8311 ExpressLane PCI Express-to-Generic Local Bus Bridge Data Book
<zhuxinjie> 在 2024-09-29 上传 | 大小:4109312 | 下载:0

[DSP编程zhongpinyanboq

说明:中频验波是对信号进行中频直接采样和数字正交处理后,产生的I 支路和Q 支路信号序列在时间上会错开一个采样间隔,需要进行定序处理,恢复成同步输出的I、Q 两路信号序列。现代雷达普遍采用相参信号处理,而如何获得高精度基带数字正交( I , Q) 信号是整个系统信号处理成败的关键,以前通常的做法是采用模拟相位检波器得到I、Q信号,其正交性能一般为:幅度平衡在2 % 左右, 相位正交误差在2°左右,即幅相误差引入的镜像功率在- 34dB 左右。
<陈绪戈> 在 2024-09-29 上传 | 大小:913408 | 下载:0

[VHDL编程15NIOSIIclock

说明:nios num clock verilog code
<dan> 在 2024-09-29 上传 | 大小:378880 | 下载:0

[VHDL编程Verilog_handbook

说明:Verilog_handbook classic Verilog book -Verilog_handbookclassic Verilog book
<dan> 在 2024-09-29 上传 | 大小:138240 | 下载:0

[VHDL编程I_believe

说明:<I believe> song _verilog code for any device.-<I Believe> song _verilog code for any device.
<dan> 在 2024-09-29 上传 | 大小:291840 | 下载:0
« 1 2 ... .80 .81 .82 .83 .84 33685.86 .87 .88 .89 .90 ... 33934 »

源码中国 www.ymcn.org