资源列表

« 1 2 ... .30 .31 .32 .33 .34 33335.36 .37 .38 .39 .40 ... 33934 »

[单片机(51,AVR,MSP430等)keybord

说明:按键扫描驱动程序 按键扫描驱动程序 -Button scan button to scan driver driver
<福生> 在 2024-10-06 上传 | 大小:1024 | 下载:0

[嵌入式/单片机编程8-bitdecimalfrequency

说明:学verilog时写的8位十进制频率计,开发环境为quartus II6.0.-When learning to write Verilog 8-bit decimal frequency, the development environment for quartus II6.0.
<lv> 在 2024-10-06 上传 | 大小:17408 | 下载:0

[单片机(51,AVR,MSP430等)LYJ

说明:AVR下的红外解码程序,全部源码,原理图和PCB,芯片资料-AVR decoding procedures under the infrared, all source code, schematic and PCB, chip data
<wenshao> 在 2024-10-06 上传 | 大小:818176 | 下载:0

[单片机(51,AVR,MSP430等)PS2

说明:单片机应用PS/2键盘的实例 使用1602液晶显示和PS/2键盘的示例 -Single-chip Microcomputer Application PS/2 keyboard 1602 examples of the use of LCD and PS/2 keyboard sample
<wenshao> 在 2024-10-06 上传 | 大小:65536 | 下载:0

[单片机(51,AVR,MSP430等)1602+18b20

说明:基于c51单片机的18b20实时温度检测,1602液晶显示实时温度。-C51 MCU-based real-time temperature 18b20 detection, real-time temperature of liquid crystal display 1602.
<lv> 在 2024-10-06 上传 | 大小:50176 | 下载:0

[单片机(51,AVR,MSP430等)USBLED

说明:本来想做usb2lpt的可是没有成功,遂随手搞了个usb控制灯-Originally wanted to do but did not succeed in usb2lpt was readily engage in a usb lamp control
<wenshao> 在 2024-10-06 上传 | 大小:717824 | 下载:0

[单片机(51,AVR,MSP430等)VoltageMeter

说明:基于c51单片机的高精度数字电压表,硬件测试已通过,供大家参考。-C51-based single-chip high-precision digital voltage meter, hardware tests have been passed, for your reference.
<lv> 在 2024-10-06 上传 | 大小:50176 | 下载:0

[单片机(51,AVR,MSP430等)CSB

说明:DIY一个属于你的超声波测距传感器程序的构思和设计-DIY a part of your Ultrasonic Ranging Sensor concept and design procedures
<wenshao> 在 2024-10-06 上传 | 大小:374784 | 下载:0

[嵌入式/单片机编程huaweiGTM900

说明:华为GTM900开发板资料,有原理图及库,还有使用手册,还不错。-Huawei GTM900 development board information, there is schematic diagram and the Treasury, as well as the use of manuals, not bad.
<yezi> 在 2024-10-06 上传 | 大小:422912 | 下载:0

[其他嵌入式/单片机内容2to1

说明:程序提供了一种2选1选择器的算法,只需稍加即可改成n选1选择器。哇!-Program provides a 2 election 1 selector algorithm, just a little can be changed to n choose 1 selector. Wow!
<sh85> 在 2024-10-06 上传 | 大小:128000 | 下载:0

[VHDL编程38

说明:程序提供了一种高效简单的38译码器的算法,非常实用-Procedure provides a simple and efficient algorithm decoder 38, a very practical
<sh85> 在 2024-10-06 上传 | 大小:140288 | 下载:0

[VHDL编程chuanrubingchu_jicunqi

说明:程序提供了一种简单高效的并入串出寄存器的算法,非常实用-Procedure provides a simple and efficient string into a register algorithm, very useful
<sh85> 在 2024-10-06 上传 | 大小:142336 | 下载:0
« 1 2 ... .30 .31 .32 .33 .34 33335.36 .37 .38 .39 .40 ... 33934 »

源码中国 www.ymcn.org