资源列表

« 1 2 ... .24 .25 .26 .27 .28 33029.30 .31 .32 .33 .34 ... 33934 »

[嵌入式/单片机编程KEY

说明:2乘8按键扫描程序 4个IO口 74LS164串行数据端 时钟端 两个普通IO口-2 x 8 keypad scanner 4 IO I 74LS164 serial data clock terminal end of two common IO port
<zengxiaoqiang> 在 2024-10-12 上传 | 大小:1024 | 下载:0

[单片机(51,AVR,MSP430等)1602

说明:1602 液晶显示子程序 可直接套用 代替数码管显示必备-Liquid crystal display subroutine 1602 can be directly applied to replace the digital display must
<zengxiaoqiang> 在 2024-10-12 上传 | 大小:1024 | 下载:0

[单片机(51,AVR,MSP430等)zoumadeng

说明:走马灯程序 一组IO口8个灯 另外带加速减速功能 初学单片机编写的 硬件测试通过 -Procedures for a group of IO lantern mouth with the other eight lights accelerate the slowdown in the preparation of functional single-chip hardware novice test
<zengxiaoqiang> 在 2024-10-12 上传 | 大小:1024 | 下载:0

[单片机(51,AVR,MSP430等)jianpanxianshi

说明:键盘与显示 4*4键盘 8个数码管轮流扫描作动态显示 硬件电路需要74LS138 cd451 -Keyboard and display 4* 4 keyboard 8 digital tube rotation scanning circuit for dynamic display hardware need 74LS138 cd451
<zengxiaoqiang> 在 2024-10-12 上传 | 大小:2048 | 下载:0

[单片机(51,AVR,MSP430等)dianjicesu

说明:直流电机测速程序 电机需带码盘 精度高 可直接设置转数 短时间内电机达到所设定的 速度-DC Motor Speed procedures required motor encoder with high precision can be set directly to a few short period of time to achieve the set motor speed
<zengxiaoqiang> 在 2024-10-12 上传 | 大小:2048 | 下载:0

[嵌入式/单片机编程flash_bios

说明:FLASH BIOS擦写工具,对从事PCI BIOS设计方面的工作有帮助!-FLASH BIOS write tools, engaged in the design of PCI BIOS has to help the work!
<fansj> 在 2024-10-12 上传 | 大小:2048 | 下载:0

[微处理器(ARM/PowerPC等)Running_an_Application_from_Internal_Flash_Memory_

说明:Running an Application from Internal Flash Memory on the tms320c2812.
<csheng> 在 2024-10-12 上传 | 大小:187392 | 下载:0

[单片机(51,AVR,MSP430等)HG192646

说明:清达光电液晶驱动192x64,有详细的说明。-Tatsu photoelectric liquid crystal drive-ching 192x64, has detailed instructions.
<keley> 在 2024-10-12 上传 | 大小:8192 | 下载:0

[其他嵌入式/单片机内容remap

说明:存储器重映射实验,通过实验,熟悉LPC2000系列ARM7微控制器的存储器重映射机制。-Memory re-mapping experiments, by experiment, familiar with the LPC2000 family of ARM7 microcontroller memory re-mapping mechanism.
<noah> 在 2024-10-12 上传 | 大小:40960 | 下载:0

[其他嵌入式/单片机内容VICDef_C

说明:EasyArm2200平台,外部中断实验,ADS1.2开发环境,掌握响亮中断控制器(VIC)的设置-EasyArm2200 platform, external interrupt experiment, ADS1.2 development environment, grasp the loud interrupt controller (VIC) settings
<noah> 在 2024-10-12 上传 | 大小:43008 | 下载:0

[其他嵌入式/单片机内容HOT

说明:XC2287_TaskingVX_GPT,XC2287_TaskingVX_CAPCOM,XC2287_TaskingVX_USIC,XC2287_TaskingVX_CAN,XC2287_TaskingVX_ADC,XC2287_TaskingVX_MEM.Infineon XC2287 DAVE设定资料,教你一步一步的设定,很好的学习资料。-XC2287_TaskingVX_GPT, XC2287_TaskingVX_CAPCOM,
<lili> 在 2024-10-12 上传 | 大小:8761344 | 下载:0

[单片机(51,AVR,MSP430等)STM122x32

说明:基于STM上的122x32液晶显示程序显示图形及汉字,已经通过验证-Based on the STM on the 122x32 graphic LCD display and the Chinese characters, has passed validation
<蓝天> 在 2024-10-12 上传 | 大小:4096 | 下载:0
« 1 2 ... .24 .25 .26 .27 .28 33029.30 .31 .32 .33 .34 ... 33934 »

源码中国 www.ymcn.org