资源列表

« 1 2 ... .70 .71 .72 .73 .74 32975.76 .77 .78 .79 .80 ... 33934 »

[单片机(51,AVR,MSP430等)speak_asm

说明:用89S52编的的蜂鸣器发声,有点简单,但最为一个发声的实力来说足够了-89S52 series with the buzzer sound, a bit simple, but most of the strength of a voice enough for the
<dianziheike> 在 2024-10-13 上传 | 大小:9216 | 下载:0

[单片机(51,AVR,MSP430等)dian_test_asm

说明:自己写的一个8x8点阵动态显示的汇编程序,欢迎初学者下载学习-Himself wrote a 8x8 dot matrix display dynamic compilation procedures, beginners welcome to download the learning
<dianziheike> 在 2024-10-13 上传 | 大小:7168 | 下载:0

[单片机(51,AVR,MSP430等)displayA_1602_asm

说明:本人写的一个1602液晶屏显示程序,是用汇编写的··欢迎交流与学习-I wrote a 1602 LCD screen display program, is welcome to use the compilation of written exchanges and learning
<dianziheike> 在 2024-10-13 上传 | 大小:7168 | 下载:0

[嵌入式/单片机编程B42J385

说明:缝纫机主机板测试程序; 使用嵌入式系统。-Sewing machine motherboard testing procedures the use of embedded systems.
<liangbo> 在 2024-10-13 上传 | 大小:299008 | 下载:0

[嵌入式Linuxov511_2.32.orig.tar

说明:支持linux2.6和linux2.4的ov511摄像头驱动源码-Linux2.6 and linux2.4 support the ov511 camera driver source
<luohui> 在 2024-10-13 上传 | 大小:121856 | 下载:0

[VHDL编程FPGAshejiyuanzhi

说明:主要介绍了FPGA设计的基本原则、基本设计思想、基本操作技巧、常用模块。-Mainly introduces the basic principles of FPGA design, basic design concepts, basic operating skills, commonly used modules.
<jie> 在 2024-10-13 上传 | 大小:260096 | 下载:0

[嵌入式/单片机编程ATmega48-88-168_Chinese

说明:ATmega48-88-168_中文说明书,是由datasheet翻译的,准确度很高,适合阅读英文datasheet比较吃力的人学习。-ATmega48-88-168_ Chinese manual is translated from the datasheet, high accuracy, suitable for reading in English datasheet relatively difficult to learn
<aaaa> 在 2024-10-13 上传 | 大小:2372608 | 下载:0

[VHDL编程CPLDjiaocheng

说明:主要介绍了关于MAGIC3000系列CPLD开发板的十个实例,如霓虹灯演示、与PC串口通信等。-Mainly on the introduction MAGIC3000 series CPLD development board of the 10 examples, such as neon demonstration, with the PC serial port communications.
<jie> 在 2024-10-13 上传 | 大小:3859456 | 下载:0

[VHDL编程vhdl

说明:这是一本关于VHDL编程的书籍,网上突然发现的,相信对相关人员会有所用途.-This is a book on VHDL programming, on-line suddenly found, I believe that the relevant staff will be use.
<williamguo> 在 2024-10-13 上传 | 大小:16897024 | 下载:0

[VHDL编程VHDLpro

说明:VHDL子程序集,包括各种例程资料以及源码.-VHDL subprogram, including a variety of routine information as well as the source.
<williamguo> 在 2024-10-13 上传 | 大小:5344256 | 下载:0

[其他嵌入式/单片机内容lpc2148-radio

说明:LPC2148控制tea5767收音模块的收音机的完整源程序,ads下开发,网上多半是51和avr的,希望这个arm7的能对大家有用-LPC2148 controlled radio TEA5767 radio module complete source code, ads under development, on-line mostly 51 and avr, and hope that the ARM7 that can be
<w w w> 在 2024-10-13 上传 | 大小:51200 | 下载:0

[单片机(51,AVR,MSP430等)KEY4X4_ASM

说明:单片机源程序,用于需要4*4矩阵键盘场合,汇汇编程序KEIL开发环境.-Singlechip source for 4* 4 matrix keyboard occasions, the assembler KEIL development environment.
<williamguo> 在 2024-10-13 上传 | 大小:12288 | 下载:0
« 1 2 ... .70 .71 .72 .73 .74 32975.76 .77 .78 .79 .80 ... 33934 »

源码中国 www.ymcn.org