资源列表

« 1 2 ... .02 .03 .04 .05 .06 33707.08 .09 .10 .11 .12 ... 33934 »

[单片机(51,AVR,MSP430等)BLDC_Sensorless_FOC

说明:BLDC sensorless代码,可供学习参考用-BLDC sensorless code for study
<褚辰> 在 2024-09-29 上传 | 大小:1840128 | 下载:1

[微处理器(ARM/PowerPC等)V4-RS485-MODBUS-Slave(V1.0)

说明:STM32F407做为ModbusRTU从站例程-STM32F407 as a Modbus RTU slave routine
<ruoshui> 在 2024-09-29 上传 | 大小:2580480 | 下载:1

[其他嵌入式/单片机内容mcu

说明:开源三菱PLC,基于stm32f103c8t6-Mitsubishi PLC source
<有个和> 在 2024-09-29 上传 | 大小:753664 | 下载:1

[微处理器(ARM/PowerPC等)HID_STM32F4

说明:stm32F4系列单片机USB接口HID通信例程- stm32F4 MCU USB interface HID communications routines
<小辉> 在 2024-09-29 上传 | 大小:58368 | 下载:1

[嵌入式Linuxanswer2

说明:在linux下,通过gtk的开发包,实现的类似qq的登陆器功能的程序-In linux, similar qq landers function procedures by gtk development package, to achieve
<kitty> 在 2024-09-29 上传 | 大小:334848 | 下载:1

[DSP编程lab9-DA_AD

说明:基于DSP的28335的数模转换程序,希望对大家有用-Based on DSP 28335 d/a conversion program, in the hope that useful to everyone
<乔明蕊> 在 2024-09-29 上传 | 大小:445440 | 下载:1

[单片机(51,AVR,MSP430等)Capacitance_Measurement

说明:实现单片机测量电容值大小,上传的文件压缩包里包含电路图、proteus仿真、keil程序等。-SCM measure the capacitance value of the size, uploaded file compression package includes schematic, proteus simulation, keil procedures.
<Zhang Yu> 在 2024-09-29 上传 | 大小:149504 | 下载:1

[单片机(51,AVR,MSP430等)TM1650

说明:TM1650驱动数码管IC的驱动程序,原创-This program is TM1650 digital tube driver chip driver, original
<ShannonWang> 在 2024-09-29 上传 | 大小:1024 | 下载:1

[嵌入式/单片机编程Arduino-SDI-12-master

说明:SDI-12库,可以用于Arduino,SDI-12的传感器连接Arduino,读取数据-SDI-12 library,can be used for SDI-12 sensors connecting to arduino
<HU> 在 2024-09-29 上传 | 大小:30720 | 下载:1

[单片机(51,AVR,MSP430等)DMX512LED

说明:dmx512控制LED灯的程序,C语言编写-dmx512 control LED lights procedures, C language
<MJ> 在 2024-09-29 上传 | 大小:56320 | 下载:1

[单片机(51,AVR,MSP430等)TIMER

说明:基于stm32的时间显示,通过定时器计时是时间误差基本没有-Based stm32 time display, by the time the timer is no fundamental error
<康余勇> 在 2024-09-29 上传 | 大小:6644736 | 下载:1

[单片机(51,AVR,MSP430等)STM32F4XX

说明:stm32f4 + usb3300 高速 usb msc-stm32f4+ usb3300 speed usb msc
<wangfeng> 在 2024-09-29 上传 | 大小:9083904 | 下载:1
« 1 2 ... .02 .03 .04 .05 .06 33707.08 .09 .10 .11 .12 ... 33934 »

源码中国 www.ymcn.org