资源列表

« 1 2 ... .30 .31 .32 .33 .34 33135.36 .37 .38 .39 .40 ... 33934 »

[VHDL编程AD_CNTR4.4a

说明:该程序是用vhdl控制max125进行ad转换的程序,已经在本人的板上调试通过。对于用vhdl编写ad转换程序有一定的参考价值。-The program is vhdl control max125 for ad conversion process, has been through in my board debug. Ad for the preparation of the conversion process using vh
<lwj> 在 2024-10-10 上传 | 大小:15360 | 下载:1

[嵌入式Linuxwedgit

说明:本人使用QT写的用户登录界面,仅供大家参考-QT, I use to write the user login screen, only for your reference
<浪迹天涯> 在 2024-10-10 上传 | 大小:453632 | 下载:1

[VHDL编程design_checklist

说明:the checklist of FPGA design
<Nguyen Quoc Viet> 在 2024-10-10 上传 | 大小:135168 | 下载:1

[单片机(51,AVR,MSP430等)EASTSOF

说明:645T协议-掌上电脑程序(振中通用),青岛微软的-645T Agreement- Pocket PC program (vibration in general), Qingdao Microsoft
<陈晓东> 在 2024-10-10 上传 | 大小:92160 | 下载:1

[单片机(51,AVR,MSP430等)UART

说明:串口小程序 芯片是英飞凌XC866-4FR-UART XC866-4FR
<岳宇鹏> 在 2024-10-10 上传 | 大小:26624 | 下载:1

[单片机(51,AVR,MSP430等)CS1181b

说明:用芯海集成高精度ADC单片机写的电子秤汇编程序,此程序对应的产品已经量产,秤重相当稳定精度高-Integration with the core high-precision ADC MCU sea written assembler of electronic scales, the corresponding products of this process has been in volume production, high p
<whuasan> 在 2024-10-10 上传 | 大小:13312 | 下载:1

[单片机(51,AVR,MSP430等)CSU-IDE_Setup_v2.1_cn

说明:芯海芯片正版集成的开发平台,文件完整,支持全部芯海的芯片开发-Genuine sea core chip integrated development platform, file integrity, the sea supports all core chip development
<whuasan> 在 2024-10-10 上传 | 大小:25849856 | 下载:1

[单片机(51,AVR,MSP430等)radar_C

说明:51单片机通过8253控制步进电机转动,步进电机上面有超声测距模块,该模块通过串口将距离信息传给单片机处理,从而实现了一个简单的平面雷达。同时,单片机处理步进电机的角度信息,再控制载有摄像头的舵机转到雷达扫描到物体的方位,以实现摄像头跟踪目标物体的结果。-51 stepper motor microcontroller control by 8253 rotation of stepper motor above a ultrasoni
<晋青丰> 在 2024-10-10 上传 | 大小:2048 | 下载:1

[单片机(51,AVR,MSP430等)smircuite

说明:这是一个基于msp430f427的温度采集程序,将采集到的温度通过串口发出去-This is an acquisition program based on msp430f427 temperature, the temperature will be collected to be sent in through the serial port
<孙乾> 在 2024-10-10 上传 | 大小:23552 | 下载:1

[DSP编程latitude

说明:已知任意两地的经纬度,求两地之间的距离源程序-Request from the known latitude and longitude
<刘人玮> 在 2024-10-10 上传 | 大小:30720 | 下载:1

[VHDL编程task2

说明:Sample multiplexor 8 to 1
<orionbhmth> 在 2024-10-10 上传 | 大小:13312 | 下载:1

[单片机(51,AVR,MSP430等)1602key

说明:1602与键盘的小工程,对初学AVR的朋友很有帮助-1602 and key AVR
<小飞> 在 2024-10-10 上传 | 大小:87040 | 下载:1
« 1 2 ... .30 .31 .32 .33 .34 33135.36 .37 .38 .39 .40 ... 33934 »

源码中国 www.ymcn.org