资源列表

« 1 2 ... .47 .48 .49 .50 .51 26652.53 .54 .55 .56 .57 ... 33934 »

[VHDL编程sp6ex4

说明:流水灯实例,8个LED循环点亮,实现流水灯效果-Example of water lights, 8 LED cycle lit, to achieve the effect of water lights
<chi> 在 2024-10-03 上传 | 大小:186368 | 下载:0

[VHDL编程sp6ex5

说明:3-8译码器实验,用三个拨码开关控制8个LED中某一个点亮-3-8 decoder experiment, with three dial switch control 8 LED in a certain light
<chi> 在 2024-10-03 上传 | 大小:152576 | 下载:0

[VHDL编程sp6ex6

说明:按键消抖与LED开关实例,5个导航按键的按下与 否,对应控制LED D2/D3/D4/D5/D6的亮灭切换-Key debounce switch with LED example, 5 navigation keys and press No, the corresponding LED control D2/D3/D4/D5/D6 light off switch
<chi> 在 2024-10-03 上传 | 大小:227328 | 下载:0

[VHDL编程vivado_LED_Flow

说明:本例程使用vivado2014.4工具,利用xilinx Basys3 实验板实现板载流水灯的两种模式控制。-This project uses verilog HDL to realize the the control of 16 leds loaded on Xilinx Basys3 board.
<姚罡> 在 2024-10-03 上传 | 大小:643072 | 下载:0

[VHDL编程SegSimplified

说明:本工程使用verilog HDL和vivado2014集成开发环境实现利用xilinx Basys3开发板上4位数码管显示从0到9999的计数器功能。-This project uses verilog HDL to realise counting 0 to 9999 on the 7-seg LED loaded on Xilinx Basys3 board.
<姚罡> 在 2024-10-03 上传 | 大小:1210368 | 下载:0

[其他嵌入式/单片机内容modbus-com

说明:modbus串口调试程序,发送命令给下位机,控制下位机并做出反应-modbus COMM program
<wmd> 在 2024-10-03 上传 | 大小:11264 | 下载:0

[DSP编程SDC_TEST_Core0

说明:blackfin609 裸机读写sd卡,读写模式为spi模式。-read/write sd card on blackfin609 using spi protocol without os
<liuping> 在 2024-10-03 上传 | 大小:480256 | 下载:0

[DSP编程BF707_AZURE_SPI2_FLASH

说明:blackfin707 基于spi1协议的烧写驱动,flash芯片mx系列-blackfin707 burn driver
<liuping> 在 2024-10-03 上传 | 大小:1333248 | 下载:0

[VHDL编程yimaqi38

说明:基于CPLD的38译码器程序设计,使用VHDL语言编程,38译码器显示在数码管上。-CPLD programming decoder 38 based on the use of VHDL language programming, the decoder 38 is displayed on the digital tube.
<孙大幕> 在 2024-10-03 上传 | 大小:131072 | 下载:0

[VHDL编程liushuideng

说明:基于CPLD的流水灯实现,使用VHDL语言编程,闪烁间隔为0.5秒。-CPLD-based water lights to achieve using VHDL language programming, blinking interval of 0.5 seconds.
<孙大幕> 在 2024-10-03 上传 | 大小:57344 | 下载:0

[VHDL编程honglvdeng

说明:基于CPLD的交通信号灯的实现,使用VHDL语言,使用不同颜色的二极管分别代表红黄绿三种信号灯。在数码管上可以分别显示倒计时。-CPLD-based implementation of the traffic lights, the use of VHDL language, using different colors of red yellow and green diodes representing three kinds of
<孙大幕> 在 2024-10-03 上传 | 大小:1085440 | 下载:0

[微处理器(ARM/PowerPC等)01,柯南大侠-ucGUI人机界面

说明:stm32f103做的gui界面,alientekb开发板(The GUI interface made by STM32F103)
<天天向上123456> 在 2024-10-03 上传 | 大小:4867072 | 下载:0
« 1 2 ... .47 .48 .49 .50 .51 26652.53 .54 .55 .56 .57 ... 33934 »

源码中国 www.ymcn.org