资源列表

« 1 2 ... .92 .93 .94 .95 .96 26297.98 .99 .00 .01 .02 ... 33934 »

[VHDL编程QD

说明:四路抢答器,主持人复位之前抢答算做犯规,复位之后抢答第一个人有效,其余无效。并且均有组别显示与声音示警。-Four Responder, Responder counted reset before the host foul, the first person to answer in an effective after a reset, the rest is invalid. And have a group show with
<邱宇> 在 2024-09-20 上传 | 大小:1024 | 下载:0

[VHDL编程qdjs

说明:10s倒计时,在复位高电平期间,开始倒计时,有某信号(抢答信号)输入,则恢复到10s并保持,准备下次计时。-10s countdown, at a high level during reset and start the countdown, there is a signal (answer signal) input, then back to the 10s and remains ready for the next timi
<邱宇> 在 2024-09-20 上传 | 大小:1024 | 下载:0

[VHDL编程ug612

说明:xilinx的时钟约束指导,适合新手学习-xilinx clock constraint guidance documents for novices to learn
<吕攀攀> 在 2024-09-20 上传 | 大小:1934336 | 下载:0

[VHDL编程FULL_ADD

说明:编写一位全加器的程序,生成器件后用BLOCK画出bdf图,最终成为四位全加器。此为实验报告,里面包括原理及框图及源程序。-Preparation of a full adder program, after generating device using BLOCK draw bdf map, eventually become four full adders. This is a test report, which include
<邱宇> 在 2024-09-20 上传 | 大小:240640 | 下载:0

[其他嵌入式/单片机内容AT86RF212CN

说明:at86rf212cn文档,详细描述了at86rf212cn的寄存器的功能-this is at86rf212cn.you can dont see.
<zpz> 在 2024-09-20 上传 | 大小:1051648 | 下载:0

[DSP编程weiji

说明:利用TS编程软件利用8255编写程序,在实验箱上实现8个LED同时显示15-11-05。-Use TS programming software uses 8255 programming, implemented on experimental box 8 LED display 15-11-05 simultaneously.
<邱宇> 在 2024-09-20 上传 | 大小:1024 | 下载:0

[单片机(51,AVR,MSP430等)TLE5012B

说明:TLE5012B的访问程序,基于STM32 SPI接口编写-TLE5012B Driver STM32 SPI PORT.
<xiaofei> 在 2024-09-20 上传 | 大小:2048 | 下载:0

[单片机(51,AVR,MSP430等)LSM6DS33

说明:LSM6DS33 驱动代码,基于STM32编写,6轴加速度计和陀螺仪驱动-LSM6DS33 Driver Based on STM32 SPI PORT
<xiaofei> 在 2024-09-20 上传 | 大小:13312 | 下载:0

[其他嵌入式/单片机内容UART_VERLIOG

说明:verilog写的UART串口-uart write by verilog.........................................
<黄靖宇> 在 2024-09-20 上传 | 大小:2048 | 下载:0

[微处理器(ARM/PowerPC等)USB

说明:STM32中USB协议例程,具体需要用到PortHelper上位机接受数据-STM32 s USB Protocol,when you need receive the data stm32,you need download a software named PortHelper
<hehai> 在 2024-09-20 上传 | 大小:1628160 | 下载:0

[微处理器(ARM/PowerPC等)AR8031_33_REF_DESIGN_V1.1

说明:AR8031/33 Reference Design 参考设计原理图-AR8031/33 Reference Design
<linyq> 在 2024-09-20 上传 | 大小:75776 | 下载:0

[嵌入式/单片机编程ch02

说明:c++ primer 第五版 习题解答源代码-Primer c++ fifth version of the source code to answer questions
<葛淼> 在 2024-09-20 上传 | 大小:2048 | 下载:0
« 1 2 ... .92 .93 .94 .95 .96 26297.98 .99 .00 .01 .02 ... 33934 »

源码中国 www.ymcn.org