资源列表

« 1 2 ... .66 .67 .68 .69 .70 33771.72 .73 .74 .75 .76 ... 33934 »

[单片机(51,AVR,MSP430等)自控走8字程序(成功)

说明:运用stm32单片机控制两轮小车自平衡,运用PID理论以达到目标效果(Using STM32 MCU to control the self-balance of two-wheeled trolley and using PID theory to achieve the goal effect)
<一切太复杂> 在 2024-09-28 上传 | 大小:3283968 | 下载:0

[单片机(51,AVR,MSP430等)CCD巡线代码【高配版平衡小车STM32F405RGT6】

说明:基于线性CCD的智能巡线小车的实现的源代码,供学习,希望有所帮助(The source code of the intelligent line-patrolling car based on linear CCD is for learning, and I hope it will be helpful.)
<一切太复杂> 在 2024-09-28 上传 | 大小:18760704 | 下载:1

[嵌入式/单片机编程N76E003_BSP_Keil_C51_V1.0.6

说明:N76E003开发资料,开发时候一定要用这个资料,好用(N76E003 development data, development must use this information, easy to use)
<WANG075> 在 2024-09-28 上传 | 大小:6623232 | 下载:0

[单片机(51,AVR,MSP430等)oled

说明:以STM32F767为主控芯片设计OLED的显示(Design of OLED Display Based on STM32F767 Chip)
<张黑黑> 在 2024-09-28 上传 | 大小:9592832 | 下载:0

[单片机(51,AVR,MSP430等)I2C—0.96寸OLED

说明:基于stm32f103的0.96OLED显示例程,iic 通讯协议。(0.96 OLED display routine based on stm32f103, IIC communication protocol.)
<风之子001> 在 2024-09-28 上传 | 大小:3250176 | 下载:0

[VHDL编程Verilog-VGA-game-master

说明:打砖块游戏的verilog代码编写与仿真文件(Verilog code writing and simulation files for brick-blocking games)
<simplewqq> 在 2024-09-28 上传 | 大小:6144 | 下载:1

[单片机(51,AVR,MSP430等)超声波

说明:利用STM32单片机驱动超声波模块进行测距并通过出口发到电脑上(Using STM32 MCU to drive the ultrasonic module to measure distance and send it to the computer through the exit.)
<中团山人> 在 2024-09-28 上传 | 大小:3151872 | 下载:0

[嵌入式/单片机编程新唐N76E003点亮LED

说明:新塘N76E003点亮LED等代码,附带注释,通俗易懂(Xintang N76E003 lighting LED code)
<hanruiyan> 在 2024-09-28 上传 | 大小:70656 | 下载:1

[单片机(51,AVR,MSP430等)Buck-Boost四开关同步整流-

说明:二开关的同步整流程序,使用的是STM32f103(Two-switch synchronous whole process, using STM32f103)
<xiefushi> 在 2024-09-28 上传 | 大小:8627200 | 下载:0

[其他嵌入式/单片机内容STM8开发例程

说明:代码包括LED,UART,ADC,LCD和SPI,I2C 等例程
<idtpie> 在 2019-05-08 上传 | 大小:11422670 | 下载:0

[单片机(51,AVR,MSP430等)实验6-1:实现心率Profile

说明:基于NRF52832的实现心率Profile实验(Realization of Heart Rate Profile Experiment Based on NRF52832)
<Wason2018> 在 2024-09-28 上传 | 大小:29406208 | 下载:0

[单片机(51,AVR,MSP430等)STM32_HOST_UVC_Camera-master

说明:实现STM32F4的USBhost功能,使用uvc协议与USB摄像头通讯。(Realize the USB host function of STM32F4 and communicate with USB camera using UVC protocol.)
<leinj> 在 2024-09-28 上传 | 大小:3373056 | 下载:1
« 1 2 ... .66 .67 .68 .69 .70 33771.72 .73 .74 .75 .76 ... 33934 »

源码中国 www.ymcn.org