资源列表

« 1 2 ... .94 .95 .96 .97 .98 33299.00 .01 .02 .03 .04 ... 33934 »

[单片机(51,AVR,MSP430等)HZ_ZNDR_V1.0.0.2Y

说明:电力智能电容器的逻辑控制,Y型控制程序,同步开关(Logic control of electric power intelligent capacitor)
<Eric_li0226> 在 2024-10-07 上传 | 大小:27779072 | 下载:0

[单片机(51,AVR,MSP430等)HTS221

说明:温湿度传感器HTS221驱动,stm32环境,亲测可用(Temperature and humidity sensor HTS221 drive, STM32 environment, pro test available)
<yxzy150> 在 2024-10-07 上传 | 大小:2048 | 下载:0

[单片机(51,AVR,MSP430等)i2c_24c02_soft

说明:利用软件模拟stm32f407与AT24C02的I2C通信(I2C communications with AT24C02 using software simulation stm32f407)
<老街> 在 2024-10-07 上传 | 大小:1363968 | 下载:0

[单片机(51,AVR,MSP430等)CC1100-CC1101测试源程序

说明:cc1100测试源代码,用于射频模块的测试代码。(the test code of TICC1100)
<vstone> 在 2024-10-07 上传 | 大小:196608 | 下载:0

[VHDL编程Viscosity_1.7.7[sn]

说明:Viscosicty is a vpn app
<vartana> 在 2024-10-07 上传 | 大小:16467968 | 下载:0

[VHDL编程frequency divider and testbench

说明:a frequency divider and test bench with simulation results
<abitofhero> 在 2024-10-07 上传 | 大小:493568 | 下载:0

[单片机(51,AVR,MSP430等)OLED显示屏STM32F103C8T6驱动程序

说明:OLED显示屏STM32F103C8T6驱动程序(OLED display STM32F103C8T6 driver)
<anananjjj> 在 2024-10-07 上传 | 大小:1878016 | 下载:0

[嵌入式/单片机编程SoftWareSerial

说明:STM32 IO模拟串口 发送接受 波特率9600(STM32 IO Analog serial port to send acceptance.)
<huadao> 在 2024-10-07 上传 | 大小:1193984 | 下载:0

[嵌入式/单片机编程control

说明:毕设论文+源码+原理图PCB图+答辩资料+文献翻译等全套毕设资料。能实现家电远程控制,操作提示音、六位密码身份认证、密码修改和密码掉电保存功能,实现盗警,煤气泄漏手机短信息方式远程报警等功能。利用SM8952AC25P、MT8870、TC35 modem和各类家居传感器设计制作一款GSM智能家居控制系统样机。(The complete set of complete set of data is set up with the pape
<girl_lily> 在 2024-10-07 上传 | 大小:14963712 | 下载:0

[VHDL编程epm240_example

说明:VHDL代码,共10个程序,分别是1分频器2状态机3计数器4拨码开关对应数码管显示5键盘及显示6键盘显示7交通灯8汉字滚动9ADC0804直流采样和显示10正弦波发生器(A total of 10 procedures, namely, 1 frequency dividers, 2 state machines, 3 counters, 4 dial switches, corresponding to digital tube di
<girl_lily> 在 2024-10-07 上传 | 大小:315392 | 下载:0

[VHDL编程risc_spm_v14

说明:使用Altera CycloneIV 用Verilog语言实现一个精简指令集cpu(Using Altera CycloneIV to implement a streamlined instruction set CPU in Verilog language)
<LucienJ> 在 2024-10-07 上传 | 大小:1055744 | 下载:0

[VHDL编程1

说明:VHDL代码,一些课本的小程序。包含3线-8线译码器,4选1选择器,6层电梯,8线-3线编码器,8线-3线优先编码器,8选1,BCD-7段显示译码器真值表,半加器,摩尔状态机,数字频率计,数字时钟,序列检测器的设计,一般状态机等等。(VHDL code, some textbooks for small programs. Includes 3 -8 decoder, 4 1 selector, 6 elevator, line 8 L
<zidting> 在 2024-10-07 上传 | 大小:453632 | 下载:0
« 1 2 ... .94 .95 .96 .97 .98 33299.00 .01 .02 .03 .04 ... 33934 »

源码中国 www.ymcn.org