资源列表

« 1 2 ... .88 .89 .90 .91 .92 33293.94 .95 .96 .97 .98 ... 33934 »

[VHDL编程Vivado使用教程

说明:这是关于VIVADO的使用教程,对于初学者来说,非常有用(This is a tutorial on the use of VIVADO, for beginners, it is very useful)
<记忆中的我> 在 2024-10-07 上传 | 大小:2592768 | 下载:0

[VHDL编程KEY

说明:使用verilog编写的用按键控制LED灯,对于初学者是很好的锻炼(Using the key to control the LED lamp with Verilog is a good exercise for the beginner.)
<记忆中的我> 在 2024-10-07 上传 | 大小:1286144 | 下载:0

[单片机(51,AVR,MSP430等)PWM

说明:基于stm32f4定时器的占空比可调的pwm波生成代码(Code generation based on dutycycle adjustable stm32f4 timer PWM wave)
<leeljay> 在 2024-10-07 上传 | 大小:3399680 | 下载:0

[单片机(51,AVR,MSP430等)proteusTFT320x240彩屏仿真模型

说明:proteusTFT320240彩屏仿真模型,把解压出来的TFT320240.LIB文件放到proteus安装目录的LIBRARY文件夹里,TFT320240A.dll放到proteus安装目录的MODELS文件夹里,然后再proteus的器件窗口搜索TFT320240 即可找到彩屏。(ProteusTFT320240 color model, the extracted TFT320240.LIB file into the Prot
<呗呗> 在 2024-10-07 上传 | 大小:524288 | 下载:0

[单片机(51,AVR,MSP430等)WIFI_receiveTest

说明:利用ESP8266,可以实现51单片机,esp8266与手机之间进行通讯控制(Using ESP8266, we can realize communication control between 51 singlechip, esp8266 and mobile phone)
<一韦潇湘> 在 2024-10-07 上传 | 大小:29696 | 下载:0

[DSP编程dsp28335 pwm

说明:基于DSP28335产生pwm波形控制,应用于控制领域或者开关电源(PWM waveform control based on DSP28335)
<挣扎的Adam> 在 2024-10-07 上传 | 大小:1024 | 下载:0

[硬件设计科普伦EMC标准电路2013年更新(ZK))

说明:科普伦EMC标准电路2013 包含各种emc的电路(Contains various emc circuits.)
<pingting_2005> 在 2024-10-07 上传 | 大小:7177216 | 下载:0

[单片机(51,AVR,MSP430等)STLink

说明:WINDOWS平台非常好用的调试工具。推荐推荐(The WINDOWS platform is a very good debugging tool. Recommended recommendation)
<水元子> 在 2024-10-07 上传 | 大小:6681600 | 下载:0

[单片机(51,AVR,MSP430等)PulseSensorAmped_Arduino_1.5.0

说明:单片机采集脉搏信号,AD转换并计算心率值(Pulse signal acquisition by single chip microcomputer, AD conversion and calculation of heart rate)
<尼克罗> 在 2024-10-07 上传 | 大小:7168 | 下载:0

[嵌入式/单片机编程261210220CAN-Bootloader-

说明:s12的BootLoader相关介绍 里面还是很全的(BootLoader for s12 it is very good)
<IdealLee> 在 2024-10-07 上传 | 大小:500736 | 下载:0

[单片机(51,AVR,MSP430等)嵌入式微系统msOS

说明:凤舞天(网名)大牛写的书籍,提供了一种单片机系统的架构和模板,对于复杂的单片机系统设计非常实用,同时也可以用来初步理解嵌入式操作系统。(This is a book written by Fengwutian(nick name online). It provides a kind of architecture and template for MCU system program. It is very useful for co
<stiffener> 在 2024-10-07 上传 | 大小:6034432 | 下载:0

[VHDL编程ALU32

说明:采用booth算法,实现了32位的ALU。(The 32 bit ALU is realized by using the Booth algorithm.)
<jetyeah> 在 2024-10-07 上传 | 大小:1757184 | 下载:0
« 1 2 ... .88 .89 .90 .91 .92 33293.94 .95 .96 .97 .98 ... 33934 »

源码中国 www.ymcn.org