资源列表

« 1 2 ... .89 .90 .91 .92 .93 32994.95 .96 .97 .98 .99 ... 33934 »

[单片机(51,AVR,MSP430等)2.实体按键

说明:使用efm32检测按键是否被按下,使用扫描方式(Use efm32 to check if the button is pressed, using a scan)
<卡卡亿 > 在 2024-10-13 上传 | 大小:49152 | 下载:0

[单片机(51,AVR,MSP430等)6.加速度计

说明:使用efm32zg开发加速度,adxl345作为加速度的输出芯片(Using efm32zg to develop acceleration, adxl345 as an acceleration output chip)
<卡卡亿 > 在 2024-10-13 上传 | 大小:1604608 | 下载:0

[单片机(51,AVR,MSP430等)3.sharp memory lcd

说明:使用efm32zg开发夏普的memory lcd,实现单色显示(Using efm32zg to develop SHARP's memory LCD to realize monochrome display)
<卡卡亿 > 在 2024-10-13 上传 | 大小:1609728 | 下载:0

[VHDL编程User_IP

说明:如何在 VIVADO 中创建用户自定义的IP(How to create user defined IP in VIVADO)
<一无窒碍 > 在 2024-10-13 上传 | 大小:23918592 | 下载:0

[VHDL编程UBOOT

说明:SD 卡下 UBOOT 的制作和编程 QSPI FLASH,以防程序就容易丢失(SD card UBOOT production and programming QSPI FLASH, in order to prevent the program is easy to lose)
<一无窒碍 > 在 2024-10-13 上传 | 大小:26263552 | 下载:0

[嵌入式/单片机编程PMSM_soft_50Hz_new

说明:完整的永磁同步电机矢量控制程序,带软启动,DAC输出,SCI,EPWM,ADC...(A complete vector control program for permanent magnet synchronous motor, with soft start, DAC output, SCI, EPWM, ADC...)
<可可22 > 在 2024-10-13 上传 | 大小:1092608 | 下载:2

[嵌入式/单片机编程openfoc

说明:永磁同步电机开环程序,有软启动,svpwm,adc采样及滑动平均滤波和低通滤波(Permanent magnet synchronous motor ring opening program, soft start, SVPWM, ADC sampling and sliding average filter and low pass filter)
<可可22 > 在 2024-10-13 上传 | 大小:145408 | 下载:0

[DSP编程Close

说明:软启动,SVPWM,ADC采样及滤波,FOC,是根据TMS320F2833XDSO应用开发与实践的例子写的,已调试(Soft boot, SVPWM, ADC sampling and filtering, FOC, is based on the example of TMS320F2833XDSO application development and practice, and has been debugged)
<可可22 > 在 2024-10-13 上传 | 大小:196608 | 下载:1

[嵌入式/单片机编程24 彩屏例程

说明:ARM下的彩屏编程,包含3.2寸,3.5寸及新版3.2寸彩屏的编写程序。(how to use colorful LCD)
<cutebaby > 在 2024-10-13 上传 | 大小:45161472 | 下载:0

[嵌入式/单片机编程stdio

说明:模拟标准输入输出函数,将数据输出到指定端口(Analog standard input and output functions to output data to a specified port)
<EatonYANG > 在 2024-10-13 上传 | 大小:3072 | 下载:0

[单片机(51,AVR,MSP430等)TEA5767_FM_RADIO

说明:这是采用一颗TEA5767的收音IC来做的一款收音机,按键加减音量,自动搜台/停台,外部带有AUX(This is a radio that is made with a TEA5767 IC, the button is added and the volume, the automatic search / stop, and the external AUX)
<677beach > 在 2024-10-13 上传 | 大小:77824 | 下载:0

[微处理器(ARM/PowerPC等)lu

说明:16位MIPS指令集,VHDL实现,非常简单,非常粗暴(library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all;)
<nodgd > 在 2024-10-13 上传 | 大小:817152 | 下载:0
« 1 2 ... .89 .90 .91 .92 .93 32994.95 .96 .97 .98 .99 ... 33934 »

源码中国 www.ymcn.org