资源列表

[其他小程序chapter9 codes&data

说明:金融时间序列第九章的代码加数据,用的r语言(Analysis of Financial Time Series 3th)
<小李子ya> 在 2024-09-29 上传 | 大小:33792 | 下载:0

[其他小程序grbl-1.1h.20190825

说明:分享一个grbl固件,里面包含了多个库。通过源代码解析可以实现对电机的控制,并且搭配arduino进行硬件实现。(Share a grbl firmware, which contains multiple libraries.Through the analysis of source code, the control of motor can be realized, and the hardware is realized wi
<李龙123> 在 2024-09-29 上传 | 大小:308224 | 下载:0

[其他小程序水声信道仿真程序

说明:水声信道仿真程序。用于水下声信道的仿真。(underwater acoustic channel simulation program. for underwater acoustic channel simulation.)
<1475> 在 2024-09-29 上传 | 大小:1024 | 下载:1

[其他小程序针对多径信道的信道均衡

说明:针对多径信道的信道均衡,演示了几种经典的算法。可以直接运行。画图时是动态的,做得很精细(several classical algorithms are demonstrated for channel equalization of multipath channels. can run directly. The drawing is dynamic and well done)
<1212121111> 在 2024-09-29 上传 | 大小:103424 | 下载:0

[其他小程序ActiveNoiseControl

说明:主动噪声控制的前馈算法和反馈算法仿真,fxlms算法(Feedforward algorithm and feedback algorithm for active noise control)
<cx2254> 在 2024-09-29 上传 | 大小:2993152 | 下载:0

[其他小程序cvx

说明:MATLAB CVX优化工具包,求解最优化问题(Matlab CVX optimization toolkit, solving optimization problems)
<genglijuan1991> 在 2024-09-29 上传 | 大小:18391040 | 下载:0

[其他小程序T10N非接触式读写器150924最新

说明:德卡T10开发包,多平台,多语言demo(Deka T10 development package, multi platform, multi language demo)
<xh1986> 在 2024-09-29 上传 | 大小:6380544 | 下载:1

[其他小程序1

说明:针对矿浆管道工况调整给泄漏检测带来的干扰,准确提取泄漏信号的特征量是降低泄漏误报、漏报的关键。为此,提出了一种基于经验模态分解(EMD)、Hilbert能量谱与变量预测模型(VPMCD)相结合的泄漏检测方法。该方法首先将压力信号分解成若干个固有模态函数(IMF)之和,然后将IMF分量进行Hilbert变换得到局部Hilbert能量谱,依据能量分布的标准差选择最能准确反映矿浆管道运行工况的局部能量谱作为特征值向量,最后通过VPMCD分类器
<M-min> 在 2020-03-16 上传 | 大小:4096 | 下载:0

[其他小程序Qt动态心电图绘制-利用队列进行实时绘制

说明:心电图绘制主思想是利用QtCharts自带的函数和方法,先初始化心电图网格线,绑定数据,然后开启定时器进行绘制。在绘制到最右边的时候,使用Qtcharts数据集series进行已有数据替换可以了。(The main idea of ECG drawing is to use QtCharts's own functions and methods to initialize the ECG gridlines, bind the dat
<H-zi> 在 2024-09-29 上传 | 大小:26096640 | 下载:1

[其他小程序倾城科技

说明:1.一键任务 2. 一键刷图 3.自动分解装备 4.一键秒杀(1.One button task.2.One touch brus.3.Automatic breakdown of equipment.4.One button seckill.)
<6354534> 在 2024-09-29 上传 | 大小:2844672 | 下载:0

[其他小程序四旋翼飞行器模型

说明:matlab四旋翼无人机仿真程序,运行scr ipt2即可,在界面中给出位置即可。(Matlab four rotor UAV simulation program, running scr ipt2 can be, given the position in the interface can be.)
<22。> 在 2024-09-29 上传 | 大小:150528 | 下载:0

[其他小程序工程图宏集

说明:该程序是基于CATIA V5的宏命令,在工程图中创建标题栏(Create Title Block using Macro.)
<loyes123> 在 2024-09-29 上传 | 大小:280576 | 下载:0

源码中国 www.ymcn.org