资源列表

« 1 2 ... .59 .60 .61 .62 .63 1164.65 .66 .67 .68 .69 ... 21480 »

[其他小程序蜂鸣器音乐之八月桂花

说明:49898454你技术可骄傲的和你阿斯达克市领导(974984654dsfsaafsdsgfr44)
<32156> 在 2024-11-20 上传 | 大小:20kb | 下载:0

[其他小程序inspiron-14-7447-laptop_user's guide_zh-cn

说明:inspiron-14-7447-laptop_user's guide_zh-cn
<Bruce_Q> 在 2024-11-20 上传 | 大小:3.86mb | 下载:0

[其他小程序si四位加法器

说明:内含三个普通的四位加法器,adder,adder4-2,adder4-3(library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity full_adder is port( a,b,ci :in std_logic; s,co :out std_logic); end entity; architecture rtl
<小柠> 在 2024-11-20 上传 | 大小:28kb | 下载:0

[其他小程序IGBT_Latch

说明:功率芯片的TCAD silvaco软件仿真(TCAD SILVACO software simulation of power chip)
<Jiangpower> 在 2024-11-20 上传 | 大小:1kb | 下载:0

[其他小程序IGBT_Steady

说明:功率芯片IGBT的TCAD silvaco软件及其稳态仿真(TCAD SILVACO software of power chip IGBT and its steady state simulation)
<Jiangpower> 在 2024-11-20 上传 | 大小:1kb | 下载:0

[其他小程序haze_tool去云补丁

说明:是ENVI下用于遥感去云的拓展工具 landsat 系列数据,Modis数据均可用(It is an extension tool for remotely sensed cloud removal under ENVI Landsat series data, Modis data are available)
<QQcat> 在 2024-11-20 上传 | 大小:31kb | 下载:0

[其他小程序QPST

说明:QPST is a transmission software developed for high pass chip. QPST includes seven small software and a user manual. Before using QPST, we need to register the port with its QPST configuration.
<Jimy> 在 2024-11-20 上传 | 大小:7.91mb | 下载:0

[其他小程序hw5s.f90

说明:代码中有Jacobi, Gauss-Seidel,SSOR ,CG, Steppest descent 的fortran实现(this file tell you how to implement Jacobi, Gauss-Seidel,SSOR ,CG, Steppest descent by fortran)
<葱葱cong> 在 2024-11-20 上传 | 大小:9kb | 下载:0

[其他小程序etc-gm

说明:礼包高爆率,微变版ETC,耐玩,实践开服一个月了,暂时没有任何BUG(The high rate of micro package, version ETC)
<atz0511> 在 2024-11-20 上传 | 大小:15.34mb | 下载:0

[其他小程序RSLogix

说明:Rslogix5000 documentation
<BNN85> 在 2024-11-20 上传 | 大小:5.29mb | 下载:0

[其他小程序CompactLogix

说明:Compactlogix documentation
<BNN85> 在 2024-11-20 上传 | 大小:6.25mb | 下载:0

[其他小程序BASIC.ipynb

说明:simple basic interpreter written in python - author peter norvig
<Glossophobe> 在 2024-11-20 上传 | 大小:19kb | 下载:0
« 1 2 ... .59 .60 .61 .62 .63 1164.65 .66 .67 .68 .69 ... 21480 »

源码中国 www.ymcn.org