资源列表

« 1 2 ... .58 .59 .60 .61 .62 1063.64 .65 .66 .67 .68 ... 21480 »

[其他小程序tomb raider underworld - 2 - esrb t pegi 12+

说明:ewq rtewwreqrwqeerwq
<sakposk> 在 2024-10-15 上传 | 大小:192512 | 下载:0

[其他小程序iTelescopeSRC

说明:iTelescopeSRC , telescope, astronautic
<wint_melody> 在 2024-10-15 上传 | 大小:90112 | 下载:0

[其他小程序按钮美化

说明:按钮界面美化包集成版,可直接使用内部美化的对象(The button interface beautifies the package integrated version, user can directly use the object of internal beautification.)
<千里草儿> 在 2024-10-15 上传 | 大小:1352704 | 下载:0

[其他小程序I_shujuchulichengxu

说明:对采集的电流信号进行处理,从而得到包括幅值,最大值,最小值,谐波幅值及谐波含有率等信息(The collected current signals are processed to obtain information including amplitude, maximum, minimum, harmonic amplitude and harmonic inclusion rate.)
<lqt11> 在 2024-10-15 上传 | 大小:1024 | 下载:0

[其他小程序toolbox_wavelets

说明:小波变换和编码功能,包括其他更奇特的变换(拉普拉斯,可控)(Wavelet transform and coding functions, including other more exotic transforms (laplacian, steerable)
<凌南> 在 2024-10-15 上传 | 大小:3688448 | 下载:0

[其他小程序OG-IQA_release

说明:Blind imagequalityassessmentbyrelativegradientstatistics and adaboostingneuralnetwork
<雪漫露松影> 在 2024-10-15 上传 | 大小:10615808 | 下载:0

[其他小程序流水灯加蜂鸣器

说明:实现蜂鸣器和流水灯报警程序,89c51单片机可以实现(Achieve buzzer and water lamp alarm procedures, 89C51 MCU can be achieved)
<菜鸟小白68> 在 2024-10-15 上传 | 大小:718848 | 下载:0

[其他小程序CIE1964Std_XYZ

说明:适用于CIE1964颜色空间转换,希望能有用(Color space conversion)
<人称王美丽> 在 2024-10-15 上传 | 大小:5120 | 下载:0

[其他小程序短消息业务联网协议1.2版

说明:短消息业务联网协议1.2版,详细介绍了所有协议(The 1.2 version of short message service networking protocol introduces all protocols in detail.)
<问2222> 在 2024-10-15 上传 | 大小:125952 | 下载:0

[其他小程序BH_VEH高速电台设置软件

说明:BH_VEH高速电台设置软件,智能车用的,推荐给大家(BH_VEHsahdashfjkasbcnklalasdaqwdascasc)
<a516002026> 在 2024-10-15 上传 | 大小:321536 | 下载:0

[其他小程序MEON

说明:End-to-End Blind Image Quality Assessment Using Deep Neural Networks
<雪漫露松影> 在 2024-10-15 上传 | 大小:10627072 | 下载:0

[其他小程序web2.5

说明:使用2D引擎实现广东地图的操作,拖拽效果,放大效果待实现(use 2d is guangdong map option)
<lifei111> 在 2024-10-15 上传 | 大小:305152 | 下载:0
« 1 2 ... .58 .59 .60 .61 .62 1063.64 .65 .66 .67 .68 ... 21480 »

源码中国 www.ymcn.org