资源列表

[其他小程序JPDA

说明:JPDA实现程序,该程序是实现多目标跟踪环境下的核心-JPDA implementation process
<> 在 2024-10-02 上传 | 大小:5120 | 下载:1

[其他小程序diandongche

说明:这是一个介绍电动车用电机控制器原理的文档,对大家有帮助-Principles of Electric Vehicle Motor Controller
<张柏顺> 在 2024-10-02 上传 | 大小:5120 | 下载:1

[其他小程序qrs

说明:比较详细的检测QRS波的程序,包括QRS波的起点,峰值检测,效果比较好,而且运用墨西哥小波,可以直接检测-Detection of QRS wave of more detailed procedures, including the starting point of QRS wave, peak detection, results were better, but the use of wavelet Mexico, you ca
<汤丽> 在 2024-10-02 上传 | 大小:2048 | 下载:1

[其他小程序CN-DOS_batch

说明: 批处理知识精华 适合一定DOS基础的人-Batch intellectual elite who fit a certain DOS-based
<troy> 在 2024-10-02 上传 | 大小:2737152 | 下载:1

[其他小程序include

说明:关于暴力破解密码的核心代码用c编写的,还算详细-Brute force password on the core code to use c prepared fairly detailed
<王梦> 在 2024-10-02 上传 | 大小:3072 | 下载:1

[其他小程序QQVC

说明:QQ强制聊天工具 VC++源码,非常实用-QQ chat forced VC++ source code, very useful
<郭丁> 在 2024-10-02 上传 | 大小:454656 | 下载:1

[其他小程序IRA_H_generate

说明:IRA码的H矩阵的产生,在已知度分布后的H矩阵的产生。-IRA code H-matrix is generated, in a known degree distribution, production of H-matrix
<alln_IVERSON> 在 2024-10-02 上传 | 大小:18432 | 下载:1

[其他小程序PMSM

说明:采用TMS320F2812数字信号处理器实现无刷直流电动机控制的主程序。-Use of TMS320F2812 digital signal processor to achieve brushless DC motor control, the main program.
<徐凯> 在 2024-10-02 上传 | 大小:13312 | 下载:1

[其他小程序vba_SQL_

说明:将Access设计器的SQL语句转换为vba的语句(含源码)。-Access Designer will be converted to SQL statements vba statement (including source code).
<lxxk> 在 2024-10-02 上传 | 大小:34816 | 下载:1

[其他小程序Dynamicmodelsimulationofdoubly-fedwindturbines

说明:为满足在电网发生故障时风电机组需保持与电网连接并向系统不间断供电的要求,提出 了双馈风力发电机的动态模型、变流器模型、变流器保护及电网模型,以研究双馈风力发电机的暂 态特性.以1·5MW SUT-1500双馈风力发电机为原型,由一个无穷大电源等效电网模型,变流器模 型采用定子磁链的定向电流矢量控制方法,利用PSCAD软件对双馈电机的暂态特性进行仿真.仿 真结果表明,矢量控制能够实现双馈电机的有功、无功解耦,并可改善系统的
<mengxianghua> 在 2024-10-02 上传 | 大小:619520 | 下载:1

[其他小程序hebei_acc

说明:点石财务系统,很多的小型财务系统都是以此为蓝本开发点的!适合新手看看!-Point Shek financial system, many small financial systems are modeled as a development point! Suitable for novice to see!
<张海> 在 2024-10-02 上传 | 大小:5143552 | 下载:1

[其他小程序dfimoteur

说明:doubly fed induction motor simulation using simulink
<hou> 在 2024-10-02 上传 | 大小:11264 | 下载:1

源码中国 www.ymcn.org