资源列表

[其他小程序packaging_RES_file

说明:把“自身释放文件”文件的源代码,复制到你的exe文件里,, 然后,用“文件合成器.exe”用ACESS方式、、合成, 先加入exe,后加入你的mdb文件!!! -The self-released document, the source code file, copy to your exe file,, Then, use the File synth .exe with ACESS way,, synth
<谢明越> 在 2024-09-30 上传 | 大小:8192 | 下载:1

[其他小程序HexToTxt

说明:十六进制与TXT文本格式互转,选中文本互转.窗体自适应大小,Windows自定义消息等-Hex and TXT text format conversion, the text system conversion. Form adaptive size, Windows custom messages and so on. . .
<sunny> 在 2024-09-30 上传 | 大小:1114112 | 下载:1

[其他小程序PSO-SampEn--ApproxiEN-RVM

说明:PSO:粒子群优化算法,用C++和matlab两种语言实现的 RVM:相关向量机,比SVM更高级些 样本熵和近似熵:计算动态系统中时间序列的一个重要手段-PSO: PSO algorithm, using C++ and matlab realization of two languages RVM: relevance vector machine, some more advanced than SVM Samp
<夏德玲> 在 2024-09-30 上传 | 大小:294912 | 下载:1

[其他小程序DSB_cyclic_spectal

说明:该程序利用MATLAB仿真画出了了DSB信号的循环谱,程序中添加了解释,方便理解和阅读。-The program utilizes MATLAB simulation depicts the cyclic spectrum DSB signal, the program has been added to explain, easy to understand and read.
<张慧敏> 在 2024-09-30 上传 | 大小:1024 | 下载:1

[其他小程序GSC2

说明:广义旁瓣相消器,阻塞矩阵B2,一个期望信号,一个干扰,高斯白噪声-the simulation of GSC(B2)
<hpf> 在 2024-09-30 上传 | 大小:1024 | 下载:1

[其他小程序cfg9517_v3

说明:AD9517的配置程序,寄存器参数根据需要自己改,双差分输出,verilog-AD9517 configuration program, register yourself to change the parameters as needed, dual differential output, verilog
<wangxiao> 在 2024-09-30 上传 | 大小:2048 | 下载:1

[其他小程序flightdynamics

说明:飞行力学的相关知识,如坐标系之间的转换,不同高度下压力、密度等的计算,以及一些插值算法的应用-Calculation of the flight mechanics knowledge, such as the conversion between the coordinate system at various altitudes pressure, density, etc., and the application of some
<叶上宿雨> 在 2024-09-30 上传 | 大小:26624 | 下载:1

[其他小程序Mod5

说明:用于大气辐射传输模型modtran5的matlab程序,可读取编辑tape5文件,运行modtran以及读取运行结果。-It provides a set of functions (called “methods” in object-oriented programming terminology) that ease the handling of MODTRAN cases. These include methods to
<> 在 2024-09-30 上传 | 大小:476160 | 下载:1

[其他小程序PLC

说明:一个伺服电机的plc控制实验程序,分享给大家,一起学习交流。-A servo motor of the PLC control program, share with everyone, learn communication together.
<姜学想> 在 2024-09-30 上传 | 大小:10240 | 下载:1

[其他小程序4FSK

说明:水下通信环境下,4FSK调制解调代码及bin文件,-4FSK modem code and the bin file
<邱念庭> 在 2024-09-30 上传 | 大小:342016 | 下载:1

[其他小程序Untitled2qiebixuefu

说明:基于端射阵的平面阵列方向图研究,对端射阵优化,利用切比雪夫加权。-Figure based on end-fire array of planar array direction of end-fire array optimization, the use of Chebyshev weights.
<唐娜娜> 在 2024-09-30 上传 | 大小:1024 | 下载:1

[其他小程序RoadFlow

说明:快递开发的工作流引擎框架,包含基本权限管理 流程设计和管理等-Express development workflow engine fr a mework that includes basic rights management process design and management
<> 在 2024-09-30 上传 | 大小:26761216 | 下载:1

源码中国 www.ymcn.org