资源列表

« 1 2 ... .54 .55 .56 .57 .58 21159.60 .61 .62 .63 .64 ... 21480 »

[其他小程序SPWM

说明:TMS320F2812的SPWM原程序,通过本程序直接在6路PWM输出口输出波形。--TMS320F2812 the SPWM original program, this program directly through the 6-channel PWM output waveform output.-
<夏飞> 在 2024-10-01 上传 | 大小:3072 | 下载:0

[其他小程序DFcoorpertivecommunication

说明:the simulink of DF coorpertive communication
<hemeir> 在 2024-10-01 上传 | 大小:56320 | 下载:0

[其他小程序haffman

说明:输入文件路径,为指定文件进行编码、解码操作,同时为文件打印haffman tr-haffman
<石严> 在 2024-10-01 上传 | 大小:2048 | 下载:0

[其他小程序tlv5628

说明:TLC5628控制例程可以很方便地对TLC5628进行控制。-TLC5628 control routines can be easily controlled on the TLC5628.
<cjs> 在 2024-10-01 上传 | 大小:320512 | 下载:0

[其他小程序watcher

说明:watches for any change in the directory ( file adding/ delete / change) (using win api)
<sanek> 在 2024-10-01 上传 | 大小:192512 | 下载:0

[其他小程序iris

说明:iris数据集 data形式.可用于matlab-iris data
<王丰丰> 在 2024-10-01 上传 | 大小:1024 | 下载:0

[其他小程序VC_Flash

说明:用c++播放flash源代码,采用了MFC的 非常清晰简单使用,还可以玩flash游戏呢-Play flash using c++ source code, using the MFC' s very clear and simple to use, it can play flash games
<jenifer> 在 2024-10-01 上传 | 大小:120832 | 下载:0

[其他小程序knap

说明:分支限界求背包问题相对于贪心法等有很大的优势-fenzhi xianjie beibao
<chuanye> 在 2024-10-01 上传 | 大小:1208320 | 下载:0

[其他小程序Matlab-FinishedOne

说明:针对夹杂有白噪声的正弦信号的功率谱估计仿真-Mixed with white noise for sinusoidal signal simulation of the power spectrum estimation
<李广> 在 2024-10-01 上传 | 大小:687104 | 下载:0

[其他小程序jode-1.1.2

说明:java反编译领域经典之作,能够反编译java字节码.-java decompiler classic in the field, can decompile java bytecode.
<gh> 在 2024-10-01 上传 | 大小:500736 | 下载:0

[其他小程序thelock

说明:用c++编写的电子密码锁程序,可以实现加密、更改密码、系统报错等功能-Using c++ program written in electronic code lock, you can achieve encryption, change the password, the system functions error
<zhijiyu> 在 2024-10-01 上传 | 大小:101376 | 下载:0

[其他小程序JSdate2

说明:(1)只选择日期 <input type="text" name="date" readOnly onClick="setDay(this) "><br/> (2)选择日期和小时 <input type="text" name="dateh" readOnly onClick="setDayH(this) "><br/> (3)选择日期和小时及分钟 <input type
<daidenghua> 在 2024-10-01 上传 | 大小:6144 | 下载:0
« 1 2 ... .54 .55 .56 .57 .58 21159.60 .61 .62 .63 .64 ... 21480 »

源码中国 www.ymcn.org